Info (276029): Inferred altsyncram megafunction from the following design logic: "platform_shim_ccip_std_afu|ccip_std_afu|afu_inst|app_cci|mdi_dma_tb_dut|avalon_st_adapter_010|timing_adapter_0|mango_demo_timing_adapter_171_kq3hxwi_fifo|mem_rtl_0" Info (286033): Parameter OPERATION_MODE set to DUAL_PORT Info (286033): Parameter WIDTH_A set to 117 Info (286033): Parameter WIDTHAD_A set to 3 Info (286033): Parameter NUMWORDS_A set to 8 Info (286033): Parameter WIDTH_B set to 117 Info (286033): Parameter WIDTHAD_B set to 3 Info (286033): Parameter NUMWORDS_B set to 8 Info (286033): Parameter ADDRESS_ACLR_A set to NONE Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED Info (286033): Parameter ADDRESS_ACLR_B set to NONE Info (286033): Parameter OUTDATA_ACLR_B set to NONE Info (286033): Parameter ADDRESS_REG_B set to CLOCK0 Info (286033): Parameter INDATA_ACLR_A set to NONE Info (286033): Parameter WRCONTROL_ACLR_A set to NONE Info (286033): Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA Info (276029): Inferred altsyncram megafunction from the following design logic: "platform_shim_ccip_std_afu|ccip_std_afu|afu_inst|app_cci|mdi_dma_tb_dut|avalon_st_adapter_019|timing_adapter_0|mango_demo_timing_adapter_171_ocvxmwq_fifo|mem_rtl_0" Info (286033): Parameter OPERATION_MODE set to DUAL_PORT Info (286033): Parameter WIDTH_A set to 33 Info (286033): Parameter WIDTHAD_A set to 3 Info (286033): Parameter NUMWORDS_A set to 8 Info (286033): Parameter WIDTH_B set to 33 Info (286033): Parameter WIDTHAD_B set to 3 Info (286033): Parameter NUMWORDS_B set to 8 Info (286033): Parameter ADDRESS_ACLR_A set to NONE Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED Info (286033): Parameter ADDRESS_ACLR_B set to NONE Info (286033): Parameter OUTDATA_ACLR_B set to NONE Info (286033): Parameter ADDRESS_REG_B set to CLOCK0 Info (286033): Parameter INDATA_ACLR_A set to NONE Info (286033): Parameter WRCONTROL_ACLR_A set to NONE Info (286033): Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA Error: Failed to synthesize partition Info: Saving post-synthesis snapshots for 1 partition(s) Warning (14284): Synthesized away the following node(s): Warning (14285): Synthesized away the following RAM node(s): Warning (14320): Synthesized away node "platform_shim_ccip_std_afu|ccip_std_afu|afu_inst|app_cci|mdi_dma_tb_dut|system_0|msgdma_bbb_inst|modular_sgdma_dispatcher_0|modular_sgdma_dispatcher_0|the_descriptor_buffers|the_write_command_FIFO|the_dp_ram|auto_generated|q_b[32]" File: /home/mango/sanhith/git_intel_repo_synth/rev009/mango_fpga/intel/build_synth/build/tmp-clearbox/afu_synth/28465/altsyncram_90h1.tdf Line: 1158 Warning (14320): Synthesized away node "platform_shim_ccip_std_afu|ccip_std_afu|afu_inst|app_cci|mdi_dma_tb_dut|system_0|msgdma_bbb_inst|modular_sgdma_dispatcher_0|modular_sgdma_dispatcher_0|the_descriptor_buffers|the_write_command_FIFO|the_dp_ram|auto_generated|q_b[33]" File: /home/mango/sanhith/git_intel_repo_synth/rev009/mango_fpga/intel/build_synth/build/tmp-clearbox/afu_synth/28465/altsyncram_90h1.tdf Line: 1193 Warning (14320): Synthesized away node "platform_shim_ccip_std_afu|ccip_std_afu|afu_inst|app_cci|mdi_dma_tb_dut|system_0|msgdma_bbb_inst|modular_sgdma_dispatcher_0|modular_sgdma_dispatcher_0|the_descriptor_buffers|the_write_command_FIFO|the_dp_ram|auto_generated|q_b[34]" File: /home/mango/sanhith/git_intel_repo_synth/rev009/mango_fpga/intel/build_synth/build/tmp-clearbox/afu_synth/28465/altsyncram_90h1.tdf Line: 1228 Warning (14320): Synthesized away node "platform_shim_ccip_std_afu|ccip_std_afu|afu_inst|app_cci|mdi_dma_tb_dut|system_0|msgdma_bbb_inst|modular_sgdma_dispatcher_0|modular_sgdma_dispatcher_0|the_descriptor_buffers|the_write_command_FIFO|the_dp_ram|auto_generated|q_b[35]" File: /home/mango/sanhith/git_intel_repo_synth/rev009/mango_fpga/intel/build_synth/build/tmp-clearbox/afu_synth/28465/altsyncram_90h1.tdf Line: 1263 Warning (14320): Synthesized away node "platform_shim_ccip_std_afu|ccip_std_afu|afu_inst|app_cci|mdi_dma_tb_dut|system_0|msgdma_bbb_inst|modular_sgdma_dispatcher_0|modular_sgdma_dispatcher_0|the_descriptor_buffers|the_write_command_FIFO|the_dp_ram|auto_generated|q_b[36]" File: /home/mango/sanhith/git_intel_repo_synth/rev009/mango_fpga/intel/build_synth/build/tmp-clearbox/afu_synth/28465/altsyncram_90h1.tdf Line: 1298 Warning (14320): Synthesized away node "platform_shim_ccip_std_afu|ccip_std_afu|afu_inst|app_cci|mdi_dma_tb_dut|system_0|msgdma_bbb_inst|modular_sgdma_dispatcher_0|modular_sgdma_dispatcher_0|the_descriptor_buffers|the_write_command_FIFO|the_dp_ram|auto_generated|q_b[37]" File: /home/mango/sanhith/git_intel_repo_synth/rev009/mango_fpga/intel/build_synth/build/tmp-clearbox/afu_synth/28465/altsyncram_90h1.tdf Line: 1333 Warning (14320): Synthesized away node "platform_shim_ccip_std_afu|ccip_std_afu|afu_inst|app_cci|mdi_dma_tb_dut|system_0|msgdma_bbb_inst|modular_sgdma_dispatcher_0|modular_sgdma_dispatcher_0|the_descriptor_buffers|the_read_command_FIFO|the_dp_ram|auto_generated|q_b[0]" File: /home/mango/sanhith/git_intel_repo_synth/rev009/mango_fpga/intel/build_synth/build/tmp-clearbox/afu_synth/28465/altsyncram_90h1.tdf Line: 38 Warning (14320): Synthesized away node "platform_shim_ccip_std_afu|ccip_std_afu|afu_inst|app_cci|mdi_dma_tb_dut|system_0|msgdma_bbb_inst|modular_sgdma_dispatcher_0|modular_sgdma_dispatcher_0|the_descriptor_buffers|the_read_command_FIFO|the_dp_ram|auto_generated|q_b[1]" File: /home/mango/sanhith/git_intel_repo_synth/rev009/mango_fpga/intel/build_synth/build/tmp-clearbox/afu_synth/28465/altsyncram_90h1.tdf Line: 73 Warning (14320): Synthesized away node "platform_shim_ccip_std_afu|ccip_std_afu|afu_inst|app_cci|mdi_dma_tb_dut|system_0|msgdma_bbb_inst|modular_sgdma_dispatcher_0|modular_sgdma_dispatcher_0|the_descriptor_buffers|the_read_command_FIFO|the_dp_ram|auto_generated|q_b[2]" File: /home/mango/sanhith/git_intel_repo_synth/rev009/mango_fpga/intel/build_synth/build/tmp-clearbox/afu_synth/28465/altsyncram_90h1.tdf Line: 108 Warning (14320): Synthesized away node "platform_shim_ccip_std_afu|ccip_std_afu|afu_inst|app_cci|mdi_dma_tb_dut|system_0|msgdma_bbb_inst|modular_sgdma_dispatcher_0|modular_sgdma_dispatcher_0|the_descriptor_buffers|the_read_command_FIFO|the_dp_ram|auto_generated|q_b[3]" File: /home/mango/sanhith/git_intel_repo_synth/rev009/mango_fpga/intel/build_synth/build/tmp-clearbox/afu_synth/28465/altsyncram_90h1.tdf Line: 143 Warning (14320): Synthesized away node "platform_shim_ccip_std_afu|ccip_std_afu|afu_inst|app_cci|mdi_dma_tb_dut|system_0|msgdma_bbb_inst|modular_sgdma_dispatcher_0|modular_sgdma_dispatcher_0|the_descriptor_buffers|the_read_command_FIFO|the_dp_ram|auto_generated|q_b[4]" File: /home/mango/sanhith/git_intel_repo_synth/rev009/mango_fpga/intel/build_synth/build/tmp-clearbox/afu_synth/28465/altsyncram_90h1.tdf Line: 178 Warning (14320): Synthesized away node "platform_shim_ccip_std_afu|ccip_std_afu|afu_inst|app_cci|mdi_dma_tb_dut|system_0|msgdma_bbb_inst|modular_sgdma_dispatcher_0|modular_sgdma_dispatcher_0|the_descriptor_buffers|the_read_command_FIFO|the_dp_ram|auto_generated|q_b[5]" File: /home/mango/sanhith/git_intel_repo_synth/rev009/mango_fpga/intel/build_synth/build/tmp-clearbox/afu_synth/28465/altsyncram_90h1.tdf Line: 213 Error: Quartus Prime Synthesis was unsuccessful. 1 error, 3482 warnings Error: Peak virtual memory: 45334 megabytes Error: Processing ended: Wed Dec 11 07:29:16 2019 Error: Elapsed time: 01:28:46 Error: Total CPU time (on all processors): 01:22:37 Info (19538): Reading SDC files took 00:04:21 cumulatively in this process. ------------------------------------------------ ERROR: Error(s) found while running an executable. See report file(s) for error message(s). Message log indicates which executable was run last. while executing "execute_module -dont_export_assignments -tool syn" (procedure "synthesize_persona_impl" line 14) invoked from within "synthesize_persona_impl $synth_rev" (procedure "compile_pr_revision" line 18) invoked from within "compile_pr_revision $options(impl)" (procedure "main" line 110) invoked from within "main" invoked from within "if {($::quartus(nameofexecutable) == "quartus") || ($::quartus(nameofexecutable) == "quartus_pro") || ($::quartus(nameofexecutable) == "qpro")} { #..." (file "./a10_partial_reconfig/flow.tcl" line 1039) ------------------------------------------------ Error (23031): Evaluation of Tcl script ./a10_partial_reconfig/flow.tcl unsuccessful Error: Quartus Prime Shell was unsuccessful. 7 errors, 3484 warnings Error: Peak virtual memory: 831 megabytes Error: Processing ended: Wed Dec 11 07:32:21 2019 Error: Elapsed time: 01:32:41 Error: Total CPU time (on all processors): 01:24:02 Quartus build failed