================================================================= Critical Warning (35025): Partially connected in-system debug instance "auto_signaltap_0" to 175 of its 185 required data inputs, trigger inputs, acquisition clocks, and dynamic pins. There were 10 illegal, 0 inaccessible, and 0 missing sources or connections. Critical Warning (169085): No exact pin location assignment(s) for 1 pins of 20 total pins Info (169086): Pin clk_25 not assigned to an exact location on the device Critical Warning (332168): The following clock transfers have no clock uncertainty assignment. For more accurate results, apply clock uncertainty assignments or use the derive_clock_uncertainty command. Critical Warning (332169): From altera_reserved_tck (Rise) to altera_reserved_tck (Rise) (setup and hold) Critical Warning (332169): From altera_reserved_tck (Fall) to altera_reserved_tck (Rise) (setup and hold) Critical Warning (332169): From altera_reserved_tck (Rise) to altera_reserved_tck (Fall) (setup and hold) Critical Warning (332169): From altera_reserved_tck (Fall) to altera_reserved_tck (Fall) (setup and hold) Critical Warning (332168): The following clock transfers have no clock uncertainty assignment. For more accurate results, apply clock uncertainty assignments or use the derive_clock_uncertainty command. Critical Warning (332169): From altera_reserved_tck (Rise) to altera_reserved_tck (Rise) (setup and hold) Critical Warning (332169): From altera_reserved_tck (Fall) to altera_reserved_tck (Rise) (setup and hold) Critical Warning (332169): From altera_reserved_tck (Rise) to altera_reserved_tck (Fall) (setup and hold) Critical Warning (332169): From altera_reserved_tck (Fall) to altera_reserved_tck (Fall) (setup and hold) Critical Warning (332148): Timing requirements not met Critical Warning (332168): The following clock transfers have no clock uncertainty assignment. For more accurate results, apply clock uncertainty assignments or use the derive_clock_uncertainty command. Critical Warning (332169): From altera_reserved_tck (Rise) to altera_reserved_tck (Rise) (setup and hold) Critical Warning (332169): From altera_reserved_tck (Fall) to altera_reserved_tck (Rise) (setup and hold) Critical Warning (332169): From altera_reserved_tck (Rise) to altera_reserved_tck (Fall) (setup and hold) Critical Warning (332169): From altera_reserved_tck (Fall) to altera_reserved_tck (Fall) (setup and hold) Critical Warning (332148): Timing requirements not met Critical Warning (332168): The following clock transfers have no clock uncertainty assignment. For more accurate results, apply clock uncertainty assignments or use the derive_clock_uncertainty command. Critical Warning (332169): From altera_reserved_tck (Rise) to altera_reserved_tck (Rise) (setup and hold) Critical Warning (332169): From altera_reserved_tck (Fall) to altera_reserved_tck (Rise) (setup and hold) Critical Warning (332169): From altera_reserved_tck (Rise) to altera_reserved_tck (Fall) (setup and hold) Critical Warning (332169): From altera_reserved_tck (Fall) to altera_reserved_tck (Fall) (setup and hold) Critical Warning (332148): Timing requirements not met Warning (12251): System: "No matching role found for jtag_uart_0:avalon_jtag_slave:dataavailable (dataavailable)" Warning (12251): System: "No matching role found for jtag_uart_0:avalon_jtag_slave:readyfordata (readyfordata)" Warning (12136): Clear box output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/TRY2/synthesis/submodules/altera_tse_altgx_civgx_gige_wo_rmfifo.v is not compatible with the current compile. Used regenerated output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/db/altera_tse_altgx_civgx_gige_wo_rmfifo.v for elaboration Warning (12136): Clear box output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/TRY2/synthesis/submodules/altera_tse_altgx_civgx_gige.v is not compatible with the current compile. Used regenerated output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/db/altera_tse_altgx_civgx_gige.v for elaboration Warning (272007): MGL_INTERNAL_WARNING: ( The parameter value is not one of the pre-specified values in the value list.) alt4gxb|intended_device_speed_grade The value assigned is 2 and the valid value list is UNUSED|7 Warning (12136): Clear box output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/TRY2/synthesis/submodules/altera_tse_alt4gxb_gige_wo_rmfifo.v is not compatible with the current compile. Used regenerated output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/db/altera_tse_alt4gxb_gige_wo_rmfifo.v for elaboration Warning (272007): MGL_INTERNAL_WARNING: ( The parameter value is not one of the pre-specified values in the value list.) alt4gxb|intended_device_speed_grade The value assigned is 2 and the valid value list is UNUSED|7 Warning (12136): Clear box output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/TRY2/synthesis/submodules/altera_tse_alt4gxb_gige.v is not compatible with the current compile. Used regenerated output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/db/altera_tse_alt4gxb_gige.v for elaboration Warning (12019): Can't analyze file -- file nios_test.vhd is missing Warning (272007): MGL_INTERNAL_WARNING: ( The parameter value is not one of the pre-specified values in the value list.) alt4gxb|intended_device_speed_grade The value assigned is 2 and the valid value list is UNUSED|7 Warning (12136): Clear box output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/db/ip/TRY2/submodules/altera_tse_alt4gxb_gige.v is not compatible with the current compile. Used regenerated output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/db/altera_tse_alt4gxb_gige.v for elaboration Warning (272007): MGL_INTERNAL_WARNING: ( The parameter value is not one of the pre-specified values in the value list.) alt4gxb|intended_device_speed_grade The value assigned is 2 and the valid value list is UNUSED|7 Warning (12136): Clear box output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/db/ip/TRY2/submodules/altera_tse_alt4gxb_gige_wo_rmfifo.v is not compatible with the current compile. Used regenerated output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/db/altera_tse_alt4gxb_gige_wo_rmfifo.v for elaboration Warning (12136): Clear box output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/db/ip/TRY2/submodules/altera_tse_altgx_civgx_gige.v is not compatible with the current compile. Used regenerated output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/db/altera_tse_altgx_civgx_gige.v for elaboration Warning (12136): Clear box output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/db/ip/TRY2/submodules/altera_tse_altgx_civgx_gige_wo_rmfifo.v is not compatible with the current compile. Used regenerated output file C:/Users/faisal-q/Desktop/Altera_projects/nios_test/db/altera_tse_altgx_civgx_gige_wo_rmfifo.v for elaboration Warning (10236): Verilog HDL Implicit Net warning at altera_tse_top_1000_base_x.v(234): created implicit net for "reset_tbi_rx_clk_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_top_1000_base_x.v(240): created implicit net for "reset_tbi_tx_clk_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_top_1000_base_x.v(209): created implicit net for "reset" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_top_1000_base_x.v(210): created implicit net for "reset_ref_clk_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_pcs_pma_gige.v(260): created implicit net for "pcs_rx_carrierdetected" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_pcs_pma.v(270): created implicit net for "tbi_tx_clk" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_pcs_pma.v(326): created implicit net for "reset_ref_clk_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_pcs_pma.v(332): created implicit net for "reset_tbi_rx_clk_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(2868): created implicit net for "pcs_clk_c0" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(3023): created implicit net for "pcs_clk_c1" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(3179): created implicit net for "pcs_clk_c2" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(3333): created implicit net for "pcs_clk_c3" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(3487): created implicit net for "pcs_clk_c4" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(3642): created implicit net for "pcs_clk_c5" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(3796): created implicit net for "pcs_clk_c6" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(3951): created implicit net for "pcs_clk_c7" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(4105): created implicit net for "pcs_clk_c8" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(4260): created implicit net for "pcs_clk_c9" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(4414): created implicit net for "pcs_clk_c10" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(4569): created implicit net for "pcs_clk_c11" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(4724): created implicit net for "pcs_clk_c12" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(4878): created implicit net for "pcs_clk_c13" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(5032): created implicit net for "pcs_clk_c14" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(5186): created implicit net for "pcs_clk_c15" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(5340): created implicit net for "pcs_clk_c16" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(5494): created implicit net for "pcs_clk_c17" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(5648): created implicit net for "pcs_clk_c18" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(5802): created implicit net for "pcs_clk_c19" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(5956): created implicit net for "pcs_clk_c20" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(6110): created implicit net for "pcs_clk_c21" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(6264): created implicit net for "pcs_clk_c22" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(6418): created implicit net for "pcs_clk_c23" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(2365): created implicit net for "reset_ref_clk_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(2377): created implicit net for "reset_tbi_rx_clk_0_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(2499): created implicit net for "reset_tbi_rx_clk_1_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(2622): created implicit net for "reset_tbi_rx_clk_2_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(2745): created implicit net for "reset_tbi_rx_clk_3_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(2867): created implicit net for "reset_tbi_rx_clk_4_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(2990): created implicit net for "reset_tbi_rx_clk_5_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3114): created implicit net for "reset_tbi_rx_clk_6_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3237): created implicit net for "reset_tbi_rx_clk_7_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3360): created implicit net for "reset_tbi_rx_clk_8_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3483): created implicit net for "reset_tbi_rx_clk_9_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3606): created implicit net for "reset_tbi_rx_clk_10_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3729): created implicit net for "reset_tbi_rx_clk_11_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3852): created implicit net for "reset_tbi_rx_clk_12_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3975): created implicit net for "reset_tbi_rx_clk_13_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4098): created implicit net for "reset_tbi_rx_clk_14_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4221): created implicit net for "reset_tbi_rx_clk_15_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4344): created implicit net for "reset_tbi_rx_clk_16_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4467): created implicit net for "reset_tbi_rx_clk_17_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4590): created implicit net for "reset_tbi_rx_clk_18_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4713): created implicit net for "reset_tbi_rx_clk_19_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4836): created implicit net for "reset_tbi_rx_clk_20_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4959): created implicit net for "reset_tbi_rx_clk_21_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(5082): created implicit net for "reset_tbi_rx_clk_22_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(5205): created implicit net for "reset_tbi_rx_clk_23_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(2365): created implicit net for "reset_ref_clk_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(2377): created implicit net for "reset_tbi_rx_clk_0_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(2499): created implicit net for "reset_tbi_rx_clk_1_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(2622): created implicit net for "reset_tbi_rx_clk_2_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(2745): created implicit net for "reset_tbi_rx_clk_3_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(2867): created implicit net for "reset_tbi_rx_clk_4_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(2990): created implicit net for "reset_tbi_rx_clk_5_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3114): created implicit net for "reset_tbi_rx_clk_6_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3237): created implicit net for "reset_tbi_rx_clk_7_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3360): created implicit net for "reset_tbi_rx_clk_8_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3483): created implicit net for "reset_tbi_rx_clk_9_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3606): created implicit net for "reset_tbi_rx_clk_10_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3729): created implicit net for "reset_tbi_rx_clk_11_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3852): created implicit net for "reset_tbi_rx_clk_12_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(3975): created implicit net for "reset_tbi_rx_clk_13_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4098): created implicit net for "reset_tbi_rx_clk_14_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4221): created implicit net for "reset_tbi_rx_clk_15_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4344): created implicit net for "reset_tbi_rx_clk_16_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4467): created implicit net for "reset_tbi_rx_clk_17_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4590): created implicit net for "reset_tbi_rx_clk_18_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4713): created implicit net for "reset_tbi_rx_clk_19_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4836): created implicit net for "reset_tbi_rx_clk_20_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(4959): created implicit net for "reset_tbi_rx_clk_21_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(5082): created implicit net for "reset_tbi_rx_clk_22_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma.v(5205): created implicit net for "reset_tbi_rx_clk_23_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(2868): created implicit net for "pcs_clk_c0" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(3023): created implicit net for "pcs_clk_c1" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(3179): created implicit net for "pcs_clk_c2" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(3333): created implicit net for "pcs_clk_c3" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(3487): created implicit net for "pcs_clk_c4" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(3642): created implicit net for "pcs_clk_c5" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(3796): created implicit net for "pcs_clk_c6" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(3951): created implicit net for "pcs_clk_c7" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(4105): created implicit net for "pcs_clk_c8" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(4260): created implicit net for "pcs_clk_c9" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(4414): created implicit net for "pcs_clk_c10" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(4569): created implicit net for "pcs_clk_c11" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(4724): created implicit net for "pcs_clk_c12" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(4878): created implicit net for "pcs_clk_c13" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(5032): created implicit net for "pcs_clk_c14" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(5186): created implicit net for "pcs_clk_c15" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(5340): created implicit net for "pcs_clk_c16" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(5494): created implicit net for "pcs_clk_c17" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(5648): created implicit net for "pcs_clk_c18" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(5802): created implicit net for "pcs_clk_c19" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(5956): created implicit net for "pcs_clk_c20" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(6110): created implicit net for "pcs_clk_c21" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(6264): created implicit net for "pcs_clk_c22" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_multi_mac_pcs_pma_gige.v(6418): created implicit net for "pcs_clk_c23" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_pcs_pma.v(270): created implicit net for "tbi_tx_clk" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_pcs_pma.v(326): created implicit net for "reset_ref_clk_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_pcs_pma.v(332): created implicit net for "reset_tbi_rx_clk_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_pcs_pma_gige.v(260): created implicit net for "pcs_rx_carrierdetected" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_top_1000_base_x.v(234): created implicit net for "reset_tbi_rx_clk_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_top_1000_base_x.v(240): created implicit net for "reset_tbi_tx_clk_int" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_top_1000_base_x.v(209): created implicit net for "reset" Warning (10236): Verilog HDL Implicit Net warning at altera_tse_top_1000_base_x.v(210): created implicit net for "reset_ref_clk_int" Warning (10037): Verilog HDL or VHDL warning at TRY2_cpu.v(1690): conditional expression evaluates to a constant Warning (10037): Verilog HDL or VHDL warning at TRY2_cpu.v(1692): conditional expression evaluates to a constant Warning (10037): Verilog HDL or VHDL warning at TRY2_cpu.v(1848): conditional expression evaluates to a constant Warning (10037): Verilog HDL or VHDL warning at TRY2_cpu.v(2767): conditional expression evaluates to a constant Warning (10037): Verilog HDL or VHDL warning at TRY2_cpu.v(1690): conditional expression evaluates to a constant Warning (10037): Verilog HDL or VHDL warning at TRY2_cpu.v(1692): conditional expression evaluates to a constant Warning (10037): Verilog HDL or VHDL warning at TRY2_cpu.v(1848): conditional expression evaluates to a constant Warning (10037): Verilog HDL or VHDL warning at TRY2_cpu.v(2767): conditional expression evaluates to a constant Warning (12020): Port "address_b" on the entity instantiation of "TRY2_cpu_traceram_lpm_dram_bdp_component" is connected to a signal of width 17. The formal width of the signal in the module is 7. The extra bits will be ignored. Warning (12020): Port "jdo" on the entity instantiation of "the_TRY2_cpu_nios2_oci_itrace" is connected to a signal of width 38. The formal width of the signal in the module is 16. The extra bits will be ignored. Warning (14284): Synthesized away the following node(s): Warning (14285): Synthesized away the following RAM node(s): Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[0]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[1]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[2]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[3]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[4]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[5]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[6]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[7]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[8]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[9]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[10]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[11]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[12]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[13]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[14]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[15]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[16]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[17]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[18]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[19]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[20]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[21]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[22]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[23]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[24]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[25]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[26]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[27]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[28]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[29]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[30]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[31]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[32]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[33]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[34]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_cpu:cpu|TRY2_cpu_nios2_oci:the_TRY2_cpu_nios2_oci|TRY2_cpu_nios2_oci_im:the_TRY2_cpu_nios2_oci_im|TRY2_cpu_traceram_lpm_dram_bdp_component_module:TRY2_cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[35]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[4]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[5]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[6]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[7]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[8]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[9]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[10]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[11]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[12]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[13]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[14]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[15]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[16]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[17]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[18]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[19]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[20]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_34:RX_STATUS|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_g9g1:auto_generated|q_b[22]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[0]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[1]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[2]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[3]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[4]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[5]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[6]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[7]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[8]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[9]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[10]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[11]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[12]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[13]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[14]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[15]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[16]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[17]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[18]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[19]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[20]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[21]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[22]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[23]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[24]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[25]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[26]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[27]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[28]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[29]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[30]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[31]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[33]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[34]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[35]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[36]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[37]" Warning (14320): Synthesized away node "ethernet:U_0|TRY2:U_0|TRY2_triple_speed_ethernet_0:triple_speed_ethernet_0|altera_tse_mac:altera_tse_mac_inst|altera_tse_top_gen_host:top_gen_host_inst|altera_tse_top_w_fifo_10_100_1000:U_MAC_TOP|altera_tse_top_w_fifo:U_MAC|altera_tse_rx_min_ff:U_RXFF|altera_tse_a_fifo_opt_1246:RX_DATA|altera_tse_altsyncram_dpm_fifo:U_RAM|altsyncram:altsyncram_component|altsyncram_cfg1:auto_generated|q_b[38]" Warning (12188): OpenCore Plus Hardware Evaluation feature is turned on for the following cores Warning (12190): "Nios II Processor (6AF7_00A2)" will use the OpenCore Plus Hardware Evaluation feature Warning (12190): ""Triple Speed Ethernet" (6AF7_00BD)" will use the OpenCore Plus Hardware Evaluation feature Warning (265072): Messages from megafunction that supports OpenCore Plus feature Warning (265073): Messages from megafunction that supports OpenCore Plus feature TSE_MAC Warning (265074): The Triple Speed Ethernet MegaCore MAC function will be disabled after time-out is reached Warning (265073): Messages from megafunction that supports OpenCore Plus feature Nios II Processor Warning (265074): The reset input will be asserted when the evaluation time expires Warning (265069): Megafunction that supports OpenCore Plus feature will stop functioning in 1 hour after device is programmed Warning (12241): 1 hierarchies have connectivity warnings - see the Connectivity Checks report folder Warning (13034): The following nodes have both tri-state and non-tri-state drivers Warning (13035): Inserted always-enabled tri-state buffer between "mdio" and its non-tri-state driver. Warning (13009): TRI or OPNDRN buffers permanently enabled Warning (13010): Node "mdio~synth" Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "gm_tx_err" is stuck at GND Warning (21074): Design contains 1 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "gm_rx_err" Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details Warning (332173): Ignored filter: *altera_tse_reset_synchronizer_chain*|aclr could not be matched with a pin Warning (332048): Ignored set_false_path: Argument is an empty collection Warning (332048): Ignored set_false_path: Argument is an empty collection Warning (332174): Ignored filter at triple_speed_ethernet_constraints.sdc(155): clk could not be matched with a port Warning (332049): Ignored create_clock at triple_speed_ethernet_constraints.sdc(155): Argument is an empty collection Info (332050): create_clock -period "$DEFAULT_SYSTEM_CLOCK_SPEED" -name altera_tse_${CLK}_$TO_THE_VARIATION_NAME [ get_ports $CLK] Warning (332174): Ignored filter at triple_speed_ethernet_constraints.sdc(158): ff_tx_clk could not be matched with a port Warning (332049): Ignored create_clock at triple_speed_ethernet_constraints.sdc(158): Argument is an empty collection Info (332050): create_clock -period "$FIFO_CLOCK_FREQUENCY" -name altera_tse_${FF_TX_CLK}_$TO_THE_VARIATION_NAME [ get_ports $FF_TX_CLK] Warning (332174): Ignored filter at triple_speed_ethernet_constraints.sdc(159): ff_rx_clk could not be matched with a port Warning (332049): Ignored create_clock at triple_speed_ethernet_constraints.sdc(159): Argument is an empty collection Info (332050): create_clock -period "$FIFO_CLOCK_FREQUENCY" -name altera_tse_${FF_RX_CLK}_$TO_THE_VARIATION_NAME [ get_ports $FF_RX_CLK] Warning (332174): Ignored filter at triple_speed_ethernet_constraints.sdc(162): tx_clk could not be matched with a port Warning (332049): Ignored create_clock at triple_speed_ethernet_constraints.sdc(162): Argument is an empty collection Info (332050): create_clock -period "$TSE_CLOCK_FREQUENCY" -name altera_tse_${TX_CLK}_$TO_THE_VARIATION_NAME [ get_ports $TX_CLK] Warning (332174): Ignored filter at triple_speed_ethernet_constraints.sdc(163): rx_clk could not be matched with a port Warning (332049): Ignored create_clock at triple_speed_ethernet_constraints.sdc(163): Argument is an empty collection Info (332050): create_clock -period "$TSE_CLOCK_FREQUENCY" -name altera_tse_${RX_CLK}_$TO_THE_VARIATION_NAME [ get_ports $RX_CLK] Warning (332174): Ignored filter at cpu.sdc(46): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_break:the_cpu_nios2_oci_break|break_readreg* could not be matched with a keeper Warning (332174): Ignored filter at cpu.sdc(46): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|*sr* could not be matched with a keeper Warning (332049): Ignored set_false_path at cpu.sdc(46): Argument is an empty collection Info (332050): set_false_path -from [get_keepers *$cpu_oci_break_path|break_readreg*] -to [get_keepers *$cpu_jtag_sr*] Warning (332049): Ignored set_false_path at cpu.sdc(46): Argument is an empty collection Warning (332174): Ignored filter at cpu.sdc(47): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug|*resetlatch could not be matched with a keeper Warning (332174): Ignored filter at cpu.sdc(47): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|*sr[33] could not be matched with a keeper Warning (332049): Ignored set_false_path at cpu.sdc(47): Argument is an empty collection Info (332050): set_false_path -from [get_keepers *$cpu_oci_debug_path|*resetlatch] -to [get_keepers *$cpu_jtag_sr[33]] Warning (332049): Ignored set_false_path at cpu.sdc(47): Argument is an empty collection Warning (332174): Ignored filter at cpu.sdc(48): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug|monitor_ready could not be matched with a keeper Warning (332174): Ignored filter at cpu.sdc(48): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|*sr[0] could not be matched with a keeper Warning (332049): Ignored set_false_path at cpu.sdc(48): Argument is an empty collection Info (332050): set_false_path -from [get_keepers *$cpu_oci_debug_path|monitor_ready] -to [get_keepers *$cpu_jtag_sr[0]] Warning (332049): Ignored set_false_path at cpu.sdc(48): Argument is an empty collection Warning (332174): Ignored filter at cpu.sdc(49): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug|monitor_error could not be matched with a keeper Warning (332174): Ignored filter at cpu.sdc(49): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|*sr[34] could not be matched with a keeper Warning (332049): Ignored set_false_path at cpu.sdc(49): Argument is an empty collection Info (332050): set_false_path -from [get_keepers *$cpu_oci_debug_path|monitor_error] -to [get_keepers *$cpu_jtag_sr[34]] Warning (332049): Ignored set_false_path at cpu.sdc(49): Argument is an empty collection Warning (332174): Ignored filter at cpu.sdc(50): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_ocimem:the_cpu_nios2_ocimem|*MonDReg* could not be matched with a keeper Warning (332049): Ignored set_false_path at cpu.sdc(50): Argument is an empty collection Info (332050): set_false_path -from [get_keepers *$cpu_ocimem_path|*MonDReg*] -to [get_keepers *$cpu_jtag_sr*] Warning (332049): Ignored set_false_path at cpu.sdc(50): Argument is an empty collection Warning (332174): Ignored filter at cpu.sdc(51): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|*sr* could not be matched with a clock or keeper or register or port or pin or cell or partition Warning (332174): Ignored filter at cpu.sdc(51): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_sysclk:the_cpu_jtag_debug_module_sysclk|*jdo* could not be matched with a clock or keeper or register or port or pin or cell or partition Warning (332049): Ignored set_false_path at cpu.sdc(51): Argument is not an object ID Info (332050): set_false_path -from *$cpu_jtag_sr* -to *$cpu_jtag_sysclk_path|*jdo* Warning (332049): Ignored set_false_path at cpu.sdc(51): Argument is not an object ID Warning (332174): Ignored filter at cpu.sdc(52): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_sysclk:the_cpu_jtag_debug_module_sysclk|ir* could not be matched with a clock or keeper or register or port or pin or cell or partition Warning (332049): Ignored set_false_path at cpu.sdc(52): Argument is not an object ID Info (332050): set_false_path -from sld_hub:*|irf_reg* -to *$cpu_jtag_sysclk_path|ir* Warning (332174): Ignored filter at cpu.sdc(53): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug|monitor_go could not be matched with a clock or keeper or register or port or pin or cell or partition Warning (332049): Ignored set_false_path at cpu.sdc(53): Argument is not an object ID Info (332050): set_false_path -from sld_hub:*|sld_shadow_jsm:shadow_jsm|state[1] -to *$cpu_oci_debug_path|monitor_go Warning (332060): Node: clk_50MHz was determined to be a clock but was found without an associated clock assignment. Warning (332056): PLL cross checking found inconsistent PLL clock settings: Warning (332056): Node: U_0|U_0|altpll_0|sd1|pll7|clk[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000 Warning (332056): Node: U_0|U_0|altpll_0|sd1|pll7|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000 Warning (15064): PLL "ethernet:U_0|TRY2:U_0|TRY2_altpll_0:altpll_0|TRY2_altpll_0_altpll_oqa2:sd1|pll7" output port clk[1] feeds output pin "clk_25_90~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. Warning (169064): Following 1 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results Info (169065): Pin mdio has a permanently enabled output enable Warning (332174): Ignored filter at qsta_default_script.tcl(1028): *altera_tse_reset_synchronizer_chain*|aclr could not be matched with a pin Warning (332049): Ignored set_false_path at qsta_default_script.tcl(1028): Argument is an empty collection Info (332050): read_sdc Warning (332049): Ignored set_false_path at qsta_default_script.tcl(1028): Argument is an empty collection Info (332050): read_sdc Warning (332174): Ignored filter at triple_speed_ethernet_constraints.sdc(155): clk could not be matched with a port Warning (332049): Ignored create_clock at triple_speed_ethernet_constraints.sdc(155): Argument is an empty collection Info (332050): create_clock -period "$DEFAULT_SYSTEM_CLOCK_SPEED" -name altera_tse_${CLK}_$TO_THE_VARIATION_NAME [ get_ports $CLK] Warning (332174): Ignored filter at triple_speed_ethernet_constraints.sdc(158): ff_tx_clk could not be matched with a port Warning (332049): Ignored create_clock at triple_speed_ethernet_constraints.sdc(158): Argument is an empty collection Info (332050): create_clock -period "$FIFO_CLOCK_FREQUENCY" -name altera_tse_${FF_TX_CLK}_$TO_THE_VARIATION_NAME [ get_ports $FF_TX_CLK] Warning (332174): Ignored filter at triple_speed_ethernet_constraints.sdc(159): ff_rx_clk could not be matched with a port Warning (332049): Ignored create_clock at triple_speed_ethernet_constraints.sdc(159): Argument is an empty collection Info (332050): create_clock -period "$FIFO_CLOCK_FREQUENCY" -name altera_tse_${FF_RX_CLK}_$TO_THE_VARIATION_NAME [ get_ports $FF_RX_CLK] Warning (332174): Ignored filter at triple_speed_ethernet_constraints.sdc(162): tx_clk could not be matched with a port Warning (332049): Ignored create_clock at triple_speed_ethernet_constraints.sdc(162): Argument is an empty collection Info (332050): create_clock -period "$TSE_CLOCK_FREQUENCY" -name altera_tse_${TX_CLK}_$TO_THE_VARIATION_NAME [ get_ports $TX_CLK] Warning (332174): Ignored filter at triple_speed_ethernet_constraints.sdc(163): rx_clk could not be matched with a port Warning (332049): Ignored create_clock at triple_speed_ethernet_constraints.sdc(163): Argument is an empty collection Info (332050): create_clock -period "$TSE_CLOCK_FREQUENCY" -name altera_tse_${RX_CLK}_$TO_THE_VARIATION_NAME [ get_ports $RX_CLK] Warning (332174): Ignored filter at cpu.sdc(46): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_break:the_cpu_nios2_oci_break|break_readreg* could not be matched with a keeper Warning (332174): Ignored filter at cpu.sdc(46): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|*sr* could not be matched with a keeper Warning (332049): Ignored set_false_path at cpu.sdc(46): Argument is an empty collection Info (332050): set_false_path -from [get_keepers *$cpu_oci_break_path|break_readreg*] -to [get_keepers *$cpu_jtag_sr*] Warning (332049): Ignored set_false_path at cpu.sdc(46): Argument is an empty collection Warning (332174): Ignored filter at cpu.sdc(47): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug|*resetlatch could not be matched with a keeper Warning (332174): Ignored filter at cpu.sdc(47): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|*sr[33] could not be matched with a keeper Warning (332049): Ignored set_false_path at cpu.sdc(47): Argument is an empty collection Info (332050): set_false_path -from [get_keepers *$cpu_oci_debug_path|*resetlatch] -to [get_keepers *$cpu_jtag_sr[33]] Warning (332049): Ignored set_false_path at cpu.sdc(47): Argument is an empty collection Warning (332174): Ignored filter at cpu.sdc(48): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug|monitor_ready could not be matched with a keeper Warning (332174): Ignored filter at cpu.sdc(48): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|*sr[0] could not be matched with a keeper Warning (332049): Ignored set_false_path at cpu.sdc(48): Argument is an empty collection Info (332050): set_false_path -from [get_keepers *$cpu_oci_debug_path|monitor_ready] -to [get_keepers *$cpu_jtag_sr[0]] Warning (332049): Ignored set_false_path at cpu.sdc(48): Argument is an empty collection Warning (332174): Ignored filter at cpu.sdc(49): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug|monitor_error could not be matched with a keeper Warning (332174): Ignored filter at cpu.sdc(49): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|*sr[34] could not be matched with a keeper Warning (332049): Ignored set_false_path at cpu.sdc(49): Argument is an empty collection Info (332050): set_false_path -from [get_keepers *$cpu_oci_debug_path|monitor_error] -to [get_keepers *$cpu_jtag_sr[34]] Warning (332049): Ignored set_false_path at cpu.sdc(49): Argument is an empty collection Warning (332174): Ignored filter at cpu.sdc(50): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_ocimem:the_cpu_nios2_ocimem|*MonDReg* could not be matched with a keeper Warning (332049): Ignored set_false_path at cpu.sdc(50): Argument is an empty collection Info (332050): set_false_path -from [get_keepers *$cpu_ocimem_path|*MonDReg*] -to [get_keepers *$cpu_jtag_sr*] Warning (332049): Ignored set_false_path at cpu.sdc(50): Argument is an empty collection Warning (332174): Ignored filter at cpu.sdc(51): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|*sr* could not be matched with a clock or keeper or register or port or pin or cell or partition Warning (332174): Ignored filter at cpu.sdc(51): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_sysclk:the_cpu_jtag_debug_module_sysclk|*jdo* could not be matched with a clock or keeper or register or port or pin or cell or partition Warning (332049): Ignored set_false_path at cpu.sdc(51): Argument is not an object ID Info (332050): set_false_path -from *$cpu_jtag_sr* -to *$cpu_jtag_sysclk_path|*jdo* Warning (332049): Ignored set_false_path at cpu.sdc(51): Argument is not an object ID Warning (332174): Ignored filter at cpu.sdc(52): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_sysclk:the_cpu_jtag_debug_module_sysclk|ir* could not be matched with a clock or keeper or register or port or pin or cell or partition Warning (332049): Ignored set_false_path at cpu.sdc(52): Argument is not an object ID Info (332050): set_false_path -from sld_hub:*|irf_reg* -to *$cpu_jtag_sysclk_path|ir* Warning (332174): Ignored filter at cpu.sdc(53): *cpu:*|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug|monitor_go could not be matched with a clock or keeper or register or port or pin or cell or partition Warning (332049): Ignored set_false_path at cpu.sdc(53): Argument is not an object ID Info (332050): set_false_path -from sld_hub:*|sld_shadow_jsm:shadow_jsm|state[1] -to *$cpu_oci_debug_path|monitor_go Warning (332060): Node: clk_50MHz was determined to be a clock but was found without an associated clock assignment. Warning (332056): PLL cross checking found inconsistent PLL clock settings: Warning (332056): Node: U_0|U_0|altpll_0|sd1|pll7|clk[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000 Warning (332056): Node: U_0|U_0|altpll_0|sd1|pll7|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000 Warning (210042): Can't convert time-limited SOF into POF, HEX File, TTF, or RBF Warning (332060): Node: clk_50MHz was determined to be a clock but was found without an associated clock assignment. Warning (332056): PLL cross checking found inconsistent PLL clock settings: Warning (332056): Node: U_0|U_0|altpll_0|sd1|pll7|clk[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000 Warning (332056): Node: U_0|U_0|altpll_0|sd1|pll7|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000 Warning (332060): Node: clk_50MHz was determined to be a clock but was found without an associated clock assignment. Warning (332056): PLL cross checking found inconsistent PLL clock settings: Warning (332056): Node: U_0|U_0|altpll_0|sd1|pll7|clk[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000 Warning (332056): Node: U_0|U_0|altpll_0|sd1|pll7|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000