set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.0.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:39:59 SEPTEMBER 25, 2020" set_global_assignment -name LAST_QUARTUS_VERSION "18.0.0 Pro Edition" set_global_assignment -name FAMILY "Stratix 10" set_global_assignment -name DEVICE 1SG280LN2F43E2VG set_global_assignment -name IP_FILE ip/pcie_example_design/pcie_example_design_DUT.ip set_global_assignment -name IP_FILE ip/pcie_example_design/pcie_example_design_MEM.ip set_global_assignment -name QSYS_FILE pcie_example_design.qsys set_global_assignment -name TOP_LEVEL_ENTITY pcie_example_design set_instance_assignment -name VIRTUAL_PIN ON -to *pipe_sim_only* set_instance_assignment -name VIRTUAL_PIN ON -to hip_ctrl_test_in -entity pcie_example_design set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100 set_instance_assignment -name IO_STANDARD "3.0-V LVTTL" -to pcie_rstn_pin_perst set_instance_assignment -name USE_AS_3V_GPIO ON -to pcie_rstn_pin_perst -entity pcie_example_design set_instance_assignment -name IO_STANDARD "2.5 V" -to pcie_rstn_pin_perst -entity pcie_example_design #set_instance_assignment -name IO_STANDARD "1.8 V" -to pcie_rstn_pin_perst -entity pcie_example_design set_instance_assignment -name IO_STANDARD HCSL -to refclk_clk set_location_assignment PIN_T34 -to refclk_clk set_location_assignment PIN_T33 -to "refclk_clk(n)" set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out0 -entity pcie_ed set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out1 -entity pcie_ed set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out2 -entity pcie_ed set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in0 -entity pcie_ed set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in1 -entity pcie_ed set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in2 -entity pcie_ed set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out3 -entity pcie_ed set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in3 -entity pcie_ed set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out4 -entity pcie_ed set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in4 -entity pcie_ed set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out5 -entity pcie_ed set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in5 -entity pcie_ed set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out6 -entity pcie_ed set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in6 -entity pcie_ed set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out7 -entity pcie_ed set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in7 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out8 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in8 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out9 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in9 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out10 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in10 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out11 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in11 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out12 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in12 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out13 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in13 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out14 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in14 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out15 -entity pcie_ed #set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in15 -entity pcie_ed ###### set_location_assignment PIN_AA28 -to pcie_rstn_pin_perst #set_location_assignment PIN_E36 -to xcvr_rx_in15 #set_location_assignment PIN_E35 -to "xcvr_rx_in15(n)" #set_location_assignment PIN_C36 -to xcvr_rx_in14 #set_location_assignment PIN_C35 -to "xcvr_rx_in14(n) " #set_location_assignment PIN_G36 -to xcvr_rx_in13 #set_location_assignment PIN_G35 -to "xcvr_rx_in13(n) " #set_location_assignment PIN_J36 -to xcvr_rx_in12 #set_location_assignment PIN_J35 -to "xcvr_rx_in12(n) " #set_location_assignment PIN_H38 -to xcvr_rx_in11 #set_location_assignment PIN_H37 -to "xcvr_rx_in11(n) " #set_location_assignment PIN_L36 -to xcvr_rx_in10 #set_location_assignment PIN_L35 -to "xcvr_rx_in10(n) " #set_location_assignment PIN_K38 -to xcvr_rx_in9 #set_location_assignment PIN_K37 -to "xcvr_rx_in9(n) " #set_location_assignment PIN_M38 -to xcvr_rx_in8 #set_location_assignment PIN_M37 -to "xcvr_rx_in8(n) " set_location_assignment PIN_N36 -to xcvr_rx_in7 set_location_assignment PIN_N35 -to "xcvr_rx_in7(n) " set_location_assignment PIN_P38 -to xcvr_rx_in6 set_location_assignment PIN_P37 -to "xcvr_rx_in6(n) " set_location_assignment PIN_R36 -to xcvr_rx_in5 set_location_assignment PIN_R35 -to "xcvr_rx_in5(n) " set_location_assignment PIN_T38 -to xcvr_rx_in4 set_location_assignment PIN_T37 -to "xcvr_rx_in4(n) " set_location_assignment PIN_V38 -to xcvr_rx_in3 set_location_assignment PIN_V37 -to "xcvr_rx_in3(n) " set_location_assignment PIN_U36 -to xcvr_rx_in2 set_location_assignment PIN_U35 -to "xcvr_rx_in2(n) " set_location_assignment PIN_Y38 -to xcvr_rx_in1 set_location_assignment PIN_Y37 -to "xcvr_rx_in1(n) " set_location_assignment PIN_W36 -to xcvr_rx_in0 set_location_assignment PIN_W35 -to "xcvr_rx_in0(n) " set_location_assignment PIN_AA40 -to xcvr_tx_out0 set_location_assignment PIN_AA39 -to "xcvr_tx_out0(n) " set_location_assignment PIN_Y42 -to xcvr_tx_out1 set_location_assignment PIN_Y41 -to "xcvr_tx_out1(n) " set_location_assignment PIN_W40 -to xcvr_tx_out2 set_location_assignment PIN_W39 -to "xcvr_tx_out2(n) " set_location_assignment PIN_V42 -to xcvr_tx_out3 set_location_assignment PIN_V41 -to "xcvr_tx_out3(n) " set_location_assignment PIN_U40 -to xcvr_tx_out4 set_location_assignment PIN_U39 -to "xcvr_tx_out4(n) " set_location_assignment PIN_T42 -to xcvr_tx_out5 set_location_assignment PIN_T41 -to "xcvr_tx_out5(n) " set_location_assignment PIN_R40 -to xcvr_tx_out6 set_location_assignment PIN_R39 -to "xcvr_tx_out6(n) " set_location_assignment PIN_P42 -to xcvr_tx_out7 set_location_assignment PIN_P41 -to "xcvr_tx_out7(n) " #set_location_assignment PIN_N40 -to xcvr_tx_out8 #set_location_assignment PIN_N39 -to "xcvr_tx_out8(n) " #set_location_assignment PIN_M42 -to xcvr_tx_out9 #set_location_assignment PIN_M41 -to "xcvr_tx_out9(n) " #set_location_assignment PIN_L40 -to xcvr_tx_out10 #set_location_assignment PIN_L39 -to "xcvr_tx_out10(n) " #set_location_assignment PIN_K42 -to xcvr_tx_out11 #set_location_assignment PIN_K41 -to "xcvr_tx_out11(n) " #set_location_assignment PIN_J40 -to xcvr_tx_out12 #set_location_assignment PIN_J39 -to "xcvr_tx_out12(n) " #set_location_assignment PIN_H42 -to xcvr_tx_out13 #set_location_assignment PIN_H41 -to "xcvr_tx_out13(n) " #set_location_assignment PIN_G40 -to xcvr_tx_out14 #set_location_assignment PIN_G39 -to "xcvr_tx_out14(n) " #set_location_assignment PIN_F42 -to xcvr_tx_out15 #set_location_assignment PIN_F41 -to "xcvr_tx_out15(n) " set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out0(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out0 -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out1(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out1 -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out2(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out2 -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out3(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out3 -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out4(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out4 -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out5(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out5 -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out6(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out6 -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out7(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out7 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out8(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out8 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out9(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out9 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out10(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out10 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out11(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out11 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out12(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out12 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out13(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out13 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out14(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out14 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_tx_out15(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_tx_out15 -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in0(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in0 -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in1(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in1 -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in2(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in2 -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in3(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in3 -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in4(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in4 -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in5(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in5 -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in6(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in6 -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in7(n)" -entity pcie_ed set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in7 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in8(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in8 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in9(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in9 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in10(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in10 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in11(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in11 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in12(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in12 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in13(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in13 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in14(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in14 -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to "xcvr_rx_in15(n)" -entity pcie_ed #set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_1V -to xcvr_rx_in15 -entity pcie_ed ###### #set_global_assignment -name VID_OPERATION_MODE "PMBUS MASTER" #set_global_assignment -name PWRMGT_BUS_SPEED_MODE "400 KHZ" #set_global_assignment -name USE_PWRMGT_SCL SDM_IO14 #set_global_assignment -name USE_PWRMGT_SDA SDM_IO11 #set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE LTM4677 #set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 4F #set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 00 #set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 00 #set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 00 #set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 00 #set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 00 #set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 00 #set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 00 #set_global_assignment -name PWRMGT_PAGE_COMMAND_ENABLE ON #set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "AUTO DISCOVERY" #set_global_assignment -name PWRMGT_TRANSLATED_VOLTAGE_VALUE_UNIT VOLTS #set_global_assignment -name DEVICE_INITIALIZATION_CLOCK OSC_CLK_1_100MHZ set_global_assignment -name USE_CONF_DONE SDM_IO16 set_global_assignment -name USE_INIT_DONE SDM_IO0 set_global_assignment -name ACTIVE_SERIAL_CLOCK AS_FREQ_100MHZ set_global_assignment -name DEVICE_INITIALIZATION_CLOCK OSC_CLK_1_125MHZ set_global_assignment -name MINIMUM_SEU_INTERVAL 0 set_global_assignment -name USE_PWRMGT_SCL SDM_IO14 set_global_assignment -name USE_PWRMGT_SDA SDM_IO11 set_global_assignment -name USE_PWRMGT_ALERT SDM_IO12 set_global_assignment -name VID_OPERATION_MODE "PMBUS SLAVE" set_global_assignment -name PWRMGT_DEVICE_ADDRESS_IN_PMBUS_SLAVE_MODE 01 set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 2 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF set_global_assignment -name ENABLE_OCT_DONE OFF set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "AVST X32" set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 1.8V set_global_assignment -name USE_CONFIGURATION_DEVICE OFF set_global_assignment -name ENABLE_ED_CRC_CHECK ON