Info: ******************************************************************* Info: Running Quartus II Analysis & Synthesis Info: Version 10.0 Build 218 06/27/2010 SJ Full Version Info: Processing started: Sun Oct 21 10:57:30 2012 Info: Version 10.0 Build 218 06/27/2010 SJ Full Version Info: Processing started: Sun Oct 21 10:57:30 2012 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off Myfirst_niosii -c Myfirst_niosii Info: Only one processor detected - disabling parallel compilation Warning: Using design file myfirst_niosii.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project Info: Found entity 1: Myfirst_niosii Info: Found entity 1: Myfirst_niosii Warning (10236): Verilog HDL Implicit Net warning at myfirst_niosii.v(71): created implicit net for "b1" Info: Elaborating entity "Myfirst_niosii" for the top level hierarchy Warning (10034): Output port "LEDR" at myfirst_niosii.v(44) has no driver Warning (10034): Output port "FL_ADDR" at myfirst_niosii.v(47) has no driver Warning (10034): Output port "FL_CE_N" at myfirst_niosii.v(48) has no driver Warning (10034): Output port "FL_OE_N" at myfirst_niosii.v(50) has no driver Warning (10034): Output port "FL_RST_N" at myfirst_niosii.v(51) has no driver Warning (10034): Output port "FL_WE_N" at myfirst_niosii.v(53) has no driver Warning (10034): Output port "FL_WP_N" at myfirst_niosii.v(54) has no driver Warning: Using design file de2_115_sopc.v, which is not specified as a design file for the current project, but contains definitions for 8 design units and 8 entities in project Info: Found entity 1: cpu_jtag_debug_module_arbitrator Info: Found entity 2: cpu_data_master_arbitrator Info: Found entity 3: cpu_instruction_master_arbitrator Info: Found entity 4: jtag_uart_avalon_jtag_slave_arbitrator Info: Found entity 5: onchip_memory2_s1_arbitrator Info: Found entity 6: pio_LED_s1_arbitrator Info: Found entity 7: DE2_115_SOPC_reset_clk_0_domain_synch_module Info: Found entity 8: DE2_115_SOPC Info: Found entity 1: cpu_jtag_debug_module_arbitrator Info: Found entity 2: cpu_data_master_arbitrator Info: Found entity 3: cpu_instruction_master_arbitrator Info: Found entity 4: jtag_uart_avalon_jtag_slave_arbitrator Info: Found entity 5: onchip_memory2_s1_arbitrator Info: Found entity 6: pio_LED_s1_arbitrator Info: Found entity 7: DE2_115_SOPC_reset_clk_0_domain_synch_module Info: Found entity 8: DE2_115_SOPC Info: Elaborating entity "DE2_115_SOPC" for hierarchy "DE2_115_SOPC:u0" Info: Elaborating entity "cpu_jtag_debug_module_arbitrator" for hierarchy "DE2_115_SOPC:u0|cpu_jtag_debug_module_arbitrator:the_cpu_jtag_debug_module" Info: Elaborating entity "cpu_data_master_arbitrator" for hierarchy "DE2_115_SOPC:u0|cpu_data_master_arbitrator:the_cpu_data_master" Info: Elaborating entity "cpu_instruction_master_arbitrator" for hierarchy "DE2_115_SOPC:u0|cpu_instruction_master_arbitrator:the_cpu_instruction_master" Info: Found 28 design units, including 28 entities, in source file cpu.v Info: Found entity 1: cpu_ic_data_module Info: Found entity 2: cpu_ic_tag_module Info: Found entity 3: cpu_bht_module Info: Found entity 4: cpu_register_bank_a_module Info: Found entity 5: cpu_register_bank_b_module Info: Found entity 6: cpu_dc_tag_module Info: Found entity 7: cpu_dc_data_module Info: Found entity 8: cpu_dc_victim_module Info: Found entity 9: cpu_nios2_oci_debug Info: Found entity 10: cpu_ociram_lpm_dram_bdp_component_module Info: Found entity 11: cpu_nios2_ocimem Info: Found entity 12: cpu_nios2_avalon_reg Info: Found entity 13: cpu_nios2_oci_break Info: Found entity 14: cpu_nios2_oci_xbrk Info: Found entity 15: cpu_nios2_oci_dbrk Info: Found entity 16: cpu_nios2_oci_itrace Info: Found entity 17: cpu_nios2_oci_td_mode Info: Found entity 18: cpu_nios2_oci_dtrace Info: Found entity 19: cpu_nios2_oci_compute_tm_count Info: Found entity 20: cpu_nios2_oci_fifowp_inc Info: Found entity 21: cpu_nios2_oci_fifocount_inc Info: Found entity 22: cpu_nios2_oci_fifo Info: Found entity 23: cpu_nios2_oci_pib Info: Found entity 24: cpu_traceram_lpm_dram_bdp_component_module Info: Found entity 25: cpu_nios2_oci_im Info: Found entity 26: cpu_nios2_performance_monitors Info: Found entity 27: cpu_nios2_oci Info: Found entity 28: cpu Info: Found entity 1: cpu_ic_data_module Info: Found entity 2: cpu_ic_tag_module Info: Found entity 3: cpu_bht_module Info: Found entity 4: cpu_register_bank_a_module Info: Found entity 5: cpu_register_bank_b_module Info: Found entity 6: cpu_dc_tag_module Info: Found entity 7: cpu_dc_data_module Info: Found entity 8: cpu_dc_victim_module Info: Found entity 9: cpu_nios2_oci_debug Info: Found entity 10: cpu_ociram_lpm_dram_bdp_component_module Info: Found entity 11: cpu_nios2_ocimem Info: Found entity 12: cpu_nios2_avalon_reg Info: Found entity 13: cpu_nios2_oci_break Info: Found entity 14: cpu_nios2_oci_xbrk Info: Found entity 15: cpu_nios2_oci_dbrk Info: Found entity 16: cpu_nios2_oci_itrace Info: Found entity 17: cpu_nios2_oci_td_mode Info: Found entity 18: cpu_nios2_oci_dtrace Info: Found entity 19: cpu_nios2_oci_compute_tm_count Info: Found entity 20: cpu_nios2_oci_fifowp_inc Info: Found entity 21: cpu_nios2_oci_fifocount_inc Info: Found entity 22: cpu_nios2_oci_fifo Info: Found entity 23: cpu_nios2_oci_pib Info: Found entity 24: cpu_traceram_lpm_dram_bdp_component_module Info: Found entity 25: cpu_nios2_oci_im Info: Found entity 26: cpu_nios2_performance_monitors Info: Found entity 27: cpu_nios2_oci Info: Found entity 28: cpu Info: Elaborating entity "cpu" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu" Warning: Using design file cpu_test_bench.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project Info: Found entity 1: cpu_test_bench Info: Found entity 1: cpu_test_bench Info: Elaborating entity "cpu_test_bench" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_test_bench:the_cpu_test_bench" Info: Elaborating entity "cpu_ic_data_module" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_ic_data_module:cpu_ic_data" Info: Elaborating entity "altsyncram" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_ic_data_module:cpu_ic_data|altsyncram:the_altsyncram" Info: Found 1 design units, including 1 entities, in source file db/altsyncram_cjd1.tdf Info: Found entity 1: altsyncram_cjd1 Info: Found entity 1: altsyncram_cjd1 Info: Elaborating entity "altsyncram_cjd1" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_ic_data_module:cpu_ic_data|altsyncram:the_altsyncram|altsyncram_cjd1:auto_generated" Info: Elaborating entity "cpu_ic_tag_module" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_ic_tag_module:cpu_ic_tag" Info: Elaborating entity "altsyncram" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_ic_tag_module:cpu_ic_tag|altsyncram:the_altsyncram" Info: Found 1 design units, including 1 entities, in source file db/altsyncram_o5g1.tdf Info: Found entity 1: altsyncram_o5g1 Info: Found entity 1: altsyncram_o5g1 Info: Elaborating entity "altsyncram_o5g1" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_ic_tag_module:cpu_ic_tag|altsyncram:the_altsyncram|altsyncram_o5g1:auto_generated" Info: Elaborating entity "cpu_bht_module" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_bht_module:cpu_bht" Info: Elaborating entity "altsyncram" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_bht_module:cpu_bht|altsyncram:the_altsyncram" Info: Found 1 design units, including 1 entities, in source file db/altsyncram_bpf1.tdf Info: Found entity 1: altsyncram_bpf1 Info: Found entity 1: altsyncram_bpf1 Info: Elaborating entity "altsyncram_bpf1" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_bht_module:cpu_bht|altsyncram:the_altsyncram|altsyncram_bpf1:auto_generated" Info: Elaborating entity "cpu_register_bank_a_module" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_register_bank_a_module:cpu_register_bank_a" Info: Elaborating entity "altsyncram" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_register_bank_a_module:cpu_register_bank_a|altsyncram:the_altsyncram" Info: Found 1 design units, including 1 entities, in source file db/altsyncram_b7f1.tdf Info: Found entity 1: altsyncram_b7f1 Info: Found entity 1: altsyncram_b7f1 Info: Elaborating entity "altsyncram_b7f1" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_register_bank_a_module:cpu_register_bank_a|altsyncram:the_altsyncram|altsyncram_b7f1:auto_generated" Info: Elaborating entity "cpu_register_bank_b_module" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_register_bank_b_module:cpu_register_bank_b" Info: Elaborating entity "altsyncram" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_register_bank_b_module:cpu_register_bank_b|altsyncram:the_altsyncram" Info: Found 1 design units, including 1 entities, in source file db/altsyncram_c7f1.tdf Info: Found entity 1: altsyncram_c7f1 Info: Found entity 1: altsyncram_c7f1 Info: Elaborating entity "altsyncram_c7f1" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_register_bank_b_module:cpu_register_bank_b|altsyncram:the_altsyncram|altsyncram_c7f1:auto_generated" Info: Elaborating entity "cpu_dc_tag_module" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_dc_tag_module:cpu_dc_tag" Info: Elaborating entity "altsyncram" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_dc_tag_module:cpu_dc_tag|altsyncram:the_altsyncram" Info: Found 1 design units, including 1 entities, in source file db/altsyncram_rdf1.tdf Info: Found entity 1: altsyncram_rdf1 Info: Found entity 1: altsyncram_rdf1 Info: Elaborating entity "altsyncram_rdf1" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_dc_tag_module:cpu_dc_tag|altsyncram:the_altsyncram|altsyncram_rdf1:auto_generated" Info: Elaborating entity "cpu_dc_data_module" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_dc_data_module:cpu_dc_data" Info: Elaborating entity "altsyncram" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_dc_data_module:cpu_dc_data|altsyncram:the_altsyncram" Info: Found 1 design units, including 1 entities, in source file db/altsyncram_kdf1.tdf Info: Found entity 1: altsyncram_kdf1 Info: Found entity 1: altsyncram_kdf1 Info: Elaborating entity "altsyncram_kdf1" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_dc_data_module:cpu_dc_data|altsyncram:the_altsyncram|altsyncram_kdf1:auto_generated" Info: Elaborating entity "cpu_dc_victim_module" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_dc_victim_module:cpu_dc_victim" Info: Elaborating entity "altsyncram" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_dc_victim_module:cpu_dc_victim|altsyncram:the_altsyncram" Info: Found 1 design units, including 1 entities, in source file db/altsyncram_r3d1.tdf Info: Found entity 1: altsyncram_r3d1 Info: Found entity 1: altsyncram_r3d1 Info: Elaborating entity "altsyncram_r3d1" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_dc_victim_module:cpu_dc_victim|altsyncram:the_altsyncram|altsyncram_r3d1:auto_generated" Warning: Using design file cpu_mult_cell.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project Info: Found entity 1: cpu_mult_cell Info: Found entity 1: cpu_mult_cell Info: Elaborating entity "cpu_mult_cell" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_mult_cell:the_cpu_mult_cell" Info: Elaborating entity "altmult_add" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_mult_cell:the_cpu_mult_cell|altmult_add:the_altmult_add_part_1" Info: Found 1 design units, including 1 entities, in source file db/mult_add_mgr2.tdf Info: Found entity 1: mult_add_mgr2 Info: Found entity 1: mult_add_mgr2 Info: Elaborating entity "mult_add_mgr2" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_mult_cell:the_cpu_mult_cell|altmult_add:the_altmult_add_part_1|mult_add_mgr2:auto_generated" Info: Found 1 design units, including 1 entities, in source file db/ded_mult_ks81.tdf Info: Found entity 1: ded_mult_ks81 Info: Found entity 1: ded_mult_ks81 Info: Elaborating entity "ded_mult_ks81" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_mult_cell:the_cpu_mult_cell|altmult_add:the_altmult_add_part_1|mult_add_mgr2:auto_generated|ded_mult_ks81:ded_mult1" Info: Found 1 design units, including 1 entities, in source file db/dffpipe_93c.tdf Info: Found entity 1: dffpipe_93c Info: Found entity 1: dffpipe_93c Info: Elaborating entity "dffpipe_93c" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_mult_cell:the_cpu_mult_cell|altmult_add:the_altmult_add_part_1|mult_add_mgr2:auto_generated|ded_mult_ks81:ded_mult1|dffpipe_93c:pre_result" Info: Elaborating entity "altmult_add" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_mult_cell:the_cpu_mult_cell|altmult_add:the_altmult_add_part_2" Info: Found 1 design units, including 1 entities, in source file db/mult_add_ogr2.tdf Info: Found entity 1: mult_add_ogr2 Info: Found entity 1: mult_add_ogr2 Info: Elaborating entity "mult_add_ogr2" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_mult_cell:the_cpu_mult_cell|altmult_add:the_altmult_add_part_2|mult_add_ogr2:auto_generated" Info: Elaborating entity "cpu_nios2_oci" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci" Info: Elaborating entity "cpu_nios2_oci_debug" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug" Info: Elaborating entity "cpu_nios2_ocimem" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_ocimem:the_cpu_nios2_ocimem" Info: Elaborating entity "cpu_ociram_lpm_dram_bdp_component_module" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_ocimem:the_cpu_nios2_ocimem|cpu_ociram_lpm_dram_bdp_component_module:cpu_ociram_lpm_dram_bdp_component" Info: Elaborating entity "altsyncram" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_ocimem:the_cpu_nios2_ocimem|cpu_ociram_lpm_dram_bdp_component_module:cpu_ociram_lpm_dram_bdp_component|altsyncram:the_altsyncram" Info: Found 1 design units, including 1 entities, in source file db/altsyncram_f572.tdf Info: Found entity 1: altsyncram_f572 Info: Found entity 1: altsyncram_f572 Info: Elaborating entity "altsyncram_f572" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_ocimem:the_cpu_nios2_ocimem|cpu_ociram_lpm_dram_bdp_component_module:cpu_ociram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_f572:auto_generated" Info: Elaborating entity "cpu_nios2_avalon_reg" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_avalon_reg:the_cpu_nios2_avalon_reg" Info: Elaborating entity "cpu_nios2_oci_break" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_break:the_cpu_nios2_oci_break" Info: Elaborating entity "cpu_nios2_oci_xbrk" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_xbrk:the_cpu_nios2_oci_xbrk" Info: Elaborating entity "cpu_nios2_oci_dbrk" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_dbrk:the_cpu_nios2_oci_dbrk" Info: Elaborating entity "cpu_nios2_oci_itrace" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace" Info: Elaborating entity "cpu_nios2_oci_dtrace" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_dtrace:the_cpu_nios2_oci_dtrace" Info: Elaborating entity "cpu_nios2_oci_td_mode" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_dtrace:the_cpu_nios2_oci_dtrace|cpu_nios2_oci_td_mode:cpu_nios2_oci_trc_ctrl_td_mode" Info: Elaborating entity "cpu_nios2_oci_fifo" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_fifo:the_cpu_nios2_oci_fifo" Info: Elaborating entity "cpu_nios2_oci_compute_tm_count" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_fifo:the_cpu_nios2_oci_fifo|cpu_nios2_oci_compute_tm_count:cpu_nios2_oci_compute_tm_count_tm_count" Info: Elaborating entity "cpu_nios2_oci_fifowp_inc" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_fifo:the_cpu_nios2_oci_fifo|cpu_nios2_oci_fifowp_inc:cpu_nios2_oci_fifowp_inc_fifowp" Info: Elaborating entity "cpu_nios2_oci_fifocount_inc" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_fifo:the_cpu_nios2_oci_fifo|cpu_nios2_oci_fifocount_inc:cpu_nios2_oci_fifocount_inc_fifocount" Warning: Using design file cpu_oci_test_bench.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project Info: Found entity 1: cpu_oci_test_bench Info: Found entity 1: cpu_oci_test_bench Info: Elaborating entity "cpu_oci_test_bench" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_fifo:the_cpu_nios2_oci_fifo|cpu_oci_test_bench:the_cpu_oci_test_bench" Info: Elaborating entity "cpu_nios2_oci_pib" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_pib:the_cpu_nios2_oci_pib" Info: Elaborating entity "cpu_nios2_oci_im" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im" Info: Elaborating entity "cpu_traceram_lpm_dram_bdp_component_module" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component" Info: Elaborating entity "altsyncram" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram" Info: Found 1 design units, including 1 entities, in source file db/altsyncram_0a02.tdf Info: Found entity 1: altsyncram_0a02 Info: Found entity 1: altsyncram_0a02 Info: Elaborating entity "altsyncram_0a02" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated" Warning: Using design file cpu_jtag_debug_module_wrapper.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project Info: Found entity 1: cpu_jtag_debug_module_wrapper Info: Found entity 1: cpu_jtag_debug_module_wrapper Info: Elaborating entity "cpu_jtag_debug_module_wrapper" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper" Warning: Using design file cpu_jtag_debug_module_tck.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project Info: Found entity 1: cpu_jtag_debug_module_tck Info: Found entity 1: cpu_jtag_debug_module_tck Info: Elaborating entity "cpu_jtag_debug_module_tck" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck" Info: Elaborating entity "altera_std_synchronizer" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|altera_std_synchronizer:the_altera_std_synchronizer" Warning: Using design file cpu_jtag_debug_module_sysclk.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project Info: Found entity 1: cpu_jtag_debug_module_sysclk Info: Found entity 1: cpu_jtag_debug_module_sysclk Info: Elaborating entity "cpu_jtag_debug_module_sysclk" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_sysclk:the_cpu_jtag_debug_module_sysclk" Info: Elaborating entity "sld_virtual_jtag_basic" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|sld_virtual_jtag_basic:cpu_jtag_debug_module_phy" Info: Elaborating entity "sld_virtual_jtag_impl" for hierarchy "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|sld_virtual_jtag_basic:cpu_jtag_debug_module_phy|sld_virtual_jtag_impl:sld_virtual_jtag_impl_inst" Info: Elaborating entity "jtag_uart_avalon_jtag_slave_arbitrator" for hierarchy "DE2_115_SOPC:u0|jtag_uart_avalon_jtag_slave_arbitrator:the_jtag_uart_avalon_jtag_slave" Warning: Using design file jtag_uart.v, which is not specified as a design file for the current project, but contains definitions for 7 design units and 7 entities in project Info: Found entity 1: jtag_uart_log_module Info: Found entity 2: jtag_uart_sim_scfifo_w Info: Found entity 3: jtag_uart_scfifo_w Info: Found entity 4: jtag_uart_drom_module Info: Found entity 5: jtag_uart_sim_scfifo_r Info: Found entity 6: jtag_uart_scfifo_r Info: Found entity 7: jtag_uart Info: Found entity 1: jtag_uart_log_module Info: Found entity 2: jtag_uart_sim_scfifo_w Info: Found entity 3: jtag_uart_scfifo_w Info: Found entity 4: jtag_uart_drom_module Info: Found entity 5: jtag_uart_sim_scfifo_r Info: Found entity 6: jtag_uart_scfifo_r Info: Found entity 7: jtag_uart Info: Elaborating entity "jtag_uart" for hierarchy "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart" Info: Elaborating entity "jtag_uart_scfifo_w" for hierarchy "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w" Info: Elaborating entity "scfifo" for hierarchy "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo" Info: Elaborated megafunction instantiation "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo" Info: Instantiated megafunction "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo" with the following parameter: Info: Parameter "lpm_hint" = "RAM_BLOCK_TYPE=AUTO" Info: Parameter "lpm_numwords" = "64" Info: Parameter "lpm_showahead" = "OFF" Info: Parameter "lpm_type" = "scfifo" Info: Parameter "lpm_width" = "8" Info: Parameter "lpm_widthu" = "6" Info: Parameter "overflow_checking" = "OFF" Info: Parameter "underflow_checking" = "OFF" Info: Parameter "use_eab" = "ON" Info: Parameter "lpm_hint" = "RAM_BLOCK_TYPE=AUTO" Info: Parameter "lpm_numwords" = "64" Info: Parameter "lpm_showahead" = "OFF" Info: Parameter "lpm_type" = "scfifo" Info: Parameter "lpm_width" = "8" Info: Parameter "lpm_widthu" = "6" Info: Parameter "overflow_checking" = "OFF" Info: Parameter "underflow_checking" = "OFF" Info: Parameter "use_eab" = "ON" Info: Found 1 design units, including 1 entities, in source file db/scfifo_jr21.tdf Info: Found entity 1: scfifo_jr21 Info: Found entity 1: scfifo_jr21 Info: Elaborating entity "scfifo_jr21" for hierarchy "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_jr21:auto_generated" Info: Found 1 design units, including 1 entities, in source file db/a_dpfifo_q131.tdf Info: Found entity 1: a_dpfifo_q131 Info: Found entity 1: a_dpfifo_q131 Info: Elaborating entity "a_dpfifo_q131" for hierarchy "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_jr21:auto_generated|a_dpfifo_q131:dpfifo" Info: Found 1 design units, including 1 entities, in source file db/a_fefifo_7cf.tdf Info: Found entity 1: a_fefifo_7cf Info: Found entity 1: a_fefifo_7cf Info: Elaborating entity "a_fefifo_7cf" for hierarchy "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_jr21:auto_generated|a_dpfifo_q131:dpfifo|a_fefifo_7cf:fifo_state" Info: Found 1 design units, including 1 entities, in source file db/cntr_do7.tdf Info: Found entity 1: cntr_do7 Info: Found entity 1: cntr_do7 Info: Elaborating entity "cntr_do7" for hierarchy "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_jr21:auto_generated|a_dpfifo_q131:dpfifo|a_fefifo_7cf:fifo_state|cntr_do7:count_usedw" Info: Found 1 design units, including 1 entities, in source file db/dpram_nl21.tdf Info: Found entity 1: dpram_nl21 Info: Found entity 1: dpram_nl21 Info: Elaborating entity "dpram_nl21" for hierarchy "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_jr21:auto_generated|a_dpfifo_q131:dpfifo|dpram_nl21:FIFOram" Info: Found 1 design units, including 1 entities, in source file db/altsyncram_r1m1.tdf Info: Found entity 1: altsyncram_r1m1 Info: Found entity 1: altsyncram_r1m1 Info: Elaborating entity "altsyncram_r1m1" for hierarchy "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_jr21:auto_generated|a_dpfifo_q131:dpfifo|dpram_nl21:FIFOram|altsyncram_r1m1:altsyncram1" Info: Found 1 design units, including 1 entities, in source file db/cntr_1ob.tdf Info: Found entity 1: cntr_1ob Info: Found entity 1: cntr_1ob Info: Elaborating entity "cntr_1ob" for hierarchy "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_jr21:auto_generated|a_dpfifo_q131:dpfifo|cntr_1ob:rd_ptr_count" Info: Elaborating entity "jtag_uart_scfifo_r" for hierarchy "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r" Info: Elaborating entity "alt_jtag_atlantic" for hierarchy "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart|alt_jtag_atlantic:jtag_uart_alt_jtag_atlantic" Info: Elaborated megafunction instantiation "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart|alt_jtag_atlantic:jtag_uart_alt_jtag_atlantic" Info: Instantiated megafunction "DE2_115_SOPC:u0|jtag_uart:the_jtag_uart|alt_jtag_atlantic:jtag_uart_alt_jtag_atlantic" with the following parameter: Info: Parameter "INSTANCE_ID" = "0" Info: Parameter "LOG2_RXFIFO_DEPTH" = "6" Info: Parameter "LOG2_TXFIFO_DEPTH" = "6" Info: Parameter "SLD_AUTO_INSTANCE_INDEX" = "YES" Info: Parameter "INSTANCE_ID" = "0" Info: Parameter "LOG2_RXFIFO_DEPTH" = "6" Info: Parameter "LOG2_TXFIFO_DEPTH" = "6" Info: Parameter "SLD_AUTO_INSTANCE_INDEX" = "YES" Info: Elaborating entity "onchip_memory2_s1_arbitrator" for hierarchy "DE2_115_SOPC:u0|onchip_memory2_s1_arbitrator:the_onchip_memory2_s1" Warning: Using design file onchip_memory2.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project Info: Found entity 1: onchip_memory2 Info: Found entity 1: onchip_memory2 Info: Elaborating entity "onchip_memory2" for hierarchy "DE2_115_SOPC:u0|onchip_memory2:the_onchip_memory2" Info: Elaborating entity "altsyncram" for hierarchy "DE2_115_SOPC:u0|onchip_memory2:the_onchip_memory2|altsyncram:the_altsyncram" Info: Elaborated megafunction instantiation "DE2_115_SOPC:u0|onchip_memory2:the_onchip_memory2|altsyncram:the_altsyncram" Info: Instantiated megafunction "DE2_115_SOPC:u0|onchip_memory2:the_onchip_memory2|altsyncram:the_altsyncram" with the following parameter: Info: Parameter "byte_size" = "8" Info: Parameter "init_file" = "onchip_memory2.hex" Info: Parameter "lpm_type" = "altsyncram" Info: Parameter "maximum_depth" = "51200" Info: Parameter "numwords_a" = "51200" Info: Parameter "operation_mode" = "SINGLE_PORT" Info: Parameter "outdata_reg_a" = "UNREGISTERED" Info: Parameter "ram_block_type" = "AUTO" Info: Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE" Info: Parameter "width_a" = "32" Info: Parameter "width_byteena_a" = "4" Info: Parameter "widthad_a" = "16" Info: Parameter "byte_size" = "8" Info: Parameter "init_file" = "onchip_memory2.hex" Info: Parameter "lpm_type" = "altsyncram" Info: Parameter "maximum_depth" = "51200" Info: Parameter "numwords_a" = "51200" Info: Parameter "operation_mode" = "SINGLE_PORT" Info: Parameter "outdata_reg_a" = "UNREGISTERED" Info: Parameter "ram_block_type" = "AUTO" Info: Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE" Info: Parameter "width_a" = "32" Info: Parameter "width_byteena_a" = "4" Info: Parameter "widthad_a" = "16" Info: Found 1 design units, including 1 entities, in source file db/altsyncram_q6c1.tdf Info: Found entity 1: altsyncram_q6c1 Info: Found entity 1: altsyncram_q6c1 Info: Elaborating entity "altsyncram_q6c1" for hierarchy "DE2_115_SOPC:u0|onchip_memory2:the_onchip_memory2|altsyncram:the_altsyncram|altsyncram_q6c1:auto_generated" Info: Found 1 design units, including 1 entities, in source file db/decode_qsa.tdf Info: Found entity 1: decode_qsa Info: Found entity 1: decode_qsa Info: Elaborating entity "decode_qsa" for hierarchy "DE2_115_SOPC:u0|onchip_memory2:the_onchip_memory2|altsyncram:the_altsyncram|altsyncram_q6c1:auto_generated|decode_qsa:decode3" Info: Found 1 design units, including 1 entities, in source file db/mux_nob.tdf Info: Found entity 1: mux_nob Info: Found entity 1: mux_nob Info: Elaborating entity "mux_nob" for hierarchy "DE2_115_SOPC:u0|onchip_memory2:the_onchip_memory2|altsyncram:the_altsyncram|altsyncram_q6c1:auto_generated|mux_nob:mux2" Info: Elaborating entity "pio_LED_s1_arbitrator" for hierarchy "DE2_115_SOPC:u0|pio_LED_s1_arbitrator:the_pio_LED_s1" Warning: Using design file pio_led.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project Info: Found entity 1: pio_LED Info: Found entity 1: pio_LED Info: Elaborating entity "pio_LED" for hierarchy "DE2_115_SOPC:u0|pio_LED:the_pio_LED" Info: Elaborating entity "DE2_115_SOPC_reset_clk_0_domain_synch_module" for hierarchy "DE2_115_SOPC:u0|DE2_115_SOPC_reset_clk_0_domain_synch_module:DE2_115_SOPC_reset_clk_0_domain_synch" Warning: Synthesized away the following node(s): Warning: Synthesized away the following RAM node(s): Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[0]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[1]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[2]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[3]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[4]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[5]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[6]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[7]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[8]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[9]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[10]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[11]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[12]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[13]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[14]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[15]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[16]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[17]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[18]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[19]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[20]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[21]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[22]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[23]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[24]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[25]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[26]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[27]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[28]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[29]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[30]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[31]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[32]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[33]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[34]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[35]" Warning: Synthesized away the following RAM node(s): Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[0]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[1]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[2]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[3]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[4]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[5]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[6]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[7]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[8]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[9]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[10]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[11]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[12]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[13]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[14]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[15]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[16]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[17]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[18]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[19]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[20]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[21]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[22]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[23]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[24]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[25]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[26]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[27]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[28]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[29]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[30]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[31]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[32]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[33]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[34]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[35]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[0]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[1]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[2]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[3]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[4]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[5]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[6]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[7]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[8]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[9]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[10]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[11]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[12]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[13]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[14]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[15]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[16]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[17]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[18]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[19]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[20]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[21]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[22]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[23]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[24]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[25]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[26]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[27]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[28]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[29]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[30]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[31]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[32]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[33]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[34]" Warning (14320): Synthesized away node "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|cpu_traceram_lpm_dram_bdp_component_module:cpu_traceram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_0a02:auto_generated|q_a[35]" Warning: OpenCore Plus Hardware Evaluation feature is turned on for the following cores Warning: "Nios II Processor (6AF7_00A2)" will use the OpenCore Plus Hardware Evaluation feature Warning: "Nios II Processor (6AF7_00A2)" will use the OpenCore Plus Hardware Evaluation feature Warning: Messages from megafunction that supports OpenCore Plus feature Warning: Messages from megafunction that supports OpenCore Plus feature Nios II Processor Warning: The reset input will be asserted when the evaluation time expires Warning: Messages from megafunction that supports OpenCore Plus feature Nios II Processor Warning: The reset input will be asserted when the evaluation time expires Warning: The reset input will be asserted when the evaluation time expires Warning: Megafunction that supports OpenCore Plus feature will stop functioning in 1 hour after device is programmed Info: Evaluation period of megafunction that supports OpenCore Plus feature can be extended indefinitely by using tethered operation Warning: 2 hierarchies have connectivity warnings - see the Connectivity Checks report folder Warning: The following bidir pins have no drivers Warning: Bidir "FL_DQ[0]" has no driver Warning: Bidir "FL_DQ[1]" has no driver Warning: Bidir "FL_DQ[2]" has no driver Warning: Bidir "FL_DQ[3]" has no driver Warning: Bidir "FL_DQ[4]" has no driver Warning: Bidir "FL_DQ[5]" has no driver Warning: Bidir "FL_DQ[6]" has no driver Warning: Bidir "FL_DQ[7]" has no driver Warning: Bidir "FL_DQ[0]" has no driver Warning: Bidir "FL_DQ[1]" has no driver Warning: Bidir "FL_DQ[2]" has no driver Warning: Bidir "FL_DQ[3]" has no driver Warning: Bidir "FL_DQ[4]" has no driver Warning: Bidir "FL_DQ[5]" has no driver Warning: Bidir "FL_DQ[6]" has no driver Warning: Bidir "FL_DQ[7]" has no driver Info: Registers with preset signals will power-up high Info: DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back Warning: Output pins are stuck at VCC or GND Warning (13410): Pin "LEDG[8]" is stuck at GND Warning (13410): Pin "LEDR[0]" is stuck at GND Warning (13410): Pin "LEDR[1]" is stuck at GND Warning (13410): Pin "LEDR[2]" is stuck at GND Warning (13410): Pin "LEDR[3]" is stuck at GND Warning (13410): Pin "LEDR[4]" is stuck at GND Warning (13410): Pin "LEDR[5]" is stuck at GND Warning (13410): Pin "LEDR[6]" is stuck at GND Warning (13410): Pin "LEDR[7]" is stuck at GND Warning (13410): Pin "LEDR[8]" is stuck at GND Warning (13410): Pin "LEDR[9]" is stuck at GND Warning (13410): Pin "LEDR[10]" is stuck at GND Warning (13410): Pin "LEDR[11]" is stuck at GND Warning (13410): Pin "LEDR[12]" is stuck at GND Warning (13410): Pin "LEDR[13]" is stuck at GND Warning (13410): Pin "LEDR[14]" is stuck at GND Warning (13410): Pin "LEDR[15]" is stuck at GND Warning (13410): Pin "LEDR[16]" is stuck at GND Warning (13410): Pin "LEDR[17]" is stuck at GND Warning (13410): Pin "FL_ADDR[0]" is stuck at GND Warning (13410): Pin "FL_ADDR[1]" is stuck at GND Warning (13410): Pin "FL_ADDR[2]" is stuck at GND Warning (13410): Pin "FL_ADDR[3]" is stuck at GND Warning (13410): Pin "FL_ADDR[4]" is stuck at GND Warning (13410): Pin "FL_ADDR[5]" is stuck at GND Warning (13410): Pin "FL_ADDR[6]" is stuck at GND Warning (13410): Pin "FL_ADDR[7]" is stuck at GND Warning (13410): Pin "FL_ADDR[8]" is stuck at GND Warning (13410): Pin "FL_ADDR[9]" is stuck at GND Warning (13410): Pin "FL_ADDR[10]" is stuck at GND Warning (13410): Pin "FL_ADDR[11]" is stuck at GND Warning (13410): Pin "FL_ADDR[12]" is stuck at GND Warning (13410): Pin "FL_ADDR[13]" is stuck at GND Warning (13410): Pin "FL_ADDR[14]" is stuck at GND Warning (13410): Pin "FL_ADDR[15]" is stuck at GND Warning (13410): Pin "FL_ADDR[16]" is stuck at GND Warning (13410): Pin "FL_ADDR[17]" is stuck at GND Warning (13410): Pin "FL_ADDR[18]" is stuck at GND Warning (13410): Pin "FL_ADDR[19]" is stuck at GND Warning (13410): Pin "FL_ADDR[20]" is stuck at GND Warning (13410): Pin "FL_ADDR[21]" is stuck at GND Warning (13410): Pin "FL_ADDR[22]" is stuck at GND Warning (13410): Pin "FL_CE_N" is stuck at GND Warning (13410): Pin "FL_OE_N" is stuck at GND Warning (13410): Pin "FL_RST_N" is stuck at GND Warning (13410): Pin "FL_WE_N" is stuck at GND Warning (13410): Pin "FL_WP_N" is stuck at GND Warning (13410): Pin "LEDG[8]" is stuck at GND Warning (13410): Pin "LEDR[0]" is stuck at GND Warning (13410): Pin "LEDR[1]" is stuck at GND Warning (13410): Pin "LEDR[2]" is stuck at GND Warning (13410): Pin "LEDR[3]" is stuck at GND Warning (13410): Pin "LEDR[4]" is stuck at GND Warning (13410): Pin "LEDR[5]" is stuck at GND Warning (13410): Pin "LEDR[6]" is stuck at GND Warning (13410): Pin "LEDR[7]" is stuck at GND Warning (13410): Pin "LEDR[8]" is stuck at GND Warning (13410): Pin "LEDR[9]" is stuck at GND Warning (13410): Pin "LEDR[10]" is stuck at GND Warning (13410): Pin "LEDR[11]" is stuck at GND Warning (13410): Pin "LEDR[12]" is stuck at GND Warning (13410): Pin "LEDR[13]" is stuck at GND Warning (13410): Pin "LEDR[14]" is stuck at GND Warning (13410): Pin "LEDR[15]" is stuck at GND Warning (13410): Pin "LEDR[16]" is stuck at GND Warning (13410): Pin "LEDR[17]" is stuck at GND Warning (13410): Pin "FL_ADDR[0]" is stuck at GND Warning (13410): Pin "FL_ADDR[1]" is stuck at GND Warning (13410): Pin "FL_ADDR[2]" is stuck at GND Warning (13410): Pin "FL_ADDR[3]" is stuck at GND Warning (13410): Pin "FL_ADDR[4]" is stuck at GND Warning (13410): Pin "FL_ADDR[5]" is stuck at GND Warning (13410): Pin "FL_ADDR[6]" is stuck at GND Warning (13410): Pin "FL_ADDR[7]" is stuck at GND Warning (13410): Pin "FL_ADDR[8]" is stuck at GND Warning (13410): Pin "FL_ADDR[9]" is stuck at GND Warning (13410): Pin "FL_ADDR[10]" is stuck at GND Warning (13410): Pin "FL_ADDR[11]" is stuck at GND Warning (13410): Pin "FL_ADDR[12]" is stuck at GND Warning (13410): Pin "FL_ADDR[13]" is stuck at GND Warning (13410): Pin "FL_ADDR[14]" is stuck at GND Warning (13410): Pin "FL_ADDR[15]" is stuck at GND Warning (13410): Pin "FL_ADDR[16]" is stuck at GND Warning (13410): Pin "FL_ADDR[17]" is stuck at GND Warning (13410): Pin "FL_ADDR[18]" is stuck at GND Warning (13410): Pin "FL_ADDR[19]" is stuck at GND Warning (13410): Pin "FL_ADDR[20]" is stuck at GND Warning (13410): Pin "FL_ADDR[21]" is stuck at GND Warning (13410): Pin "FL_ADDR[22]" is stuck at GND Warning (13410): Pin "FL_CE_N" is stuck at GND Warning (13410): Pin "FL_OE_N" is stuck at GND Warning (13410): Pin "FL_RST_N" is stuck at GND Warning (13410): Pin "FL_WE_N" is stuck at GND Warning (13410): Pin "FL_WP_N" is stuck at GND Info: Timing-Driven Synthesis is running on partition "Top" Info: 68 registers lost all their fanouts during netlist optimizations. The first 68 are displayed below. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[0]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[1]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[2]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[3]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[4]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[5]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[6]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[7]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[8]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[9]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[10]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[11]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[12]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[13]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[14]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[15]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[16]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[17]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[18]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[19]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[20]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[21]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[22]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[23]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[24]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[25]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[26]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[27]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[28]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[29]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[30]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[31]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[34]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[33]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[32]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[35]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[0]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[16]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[15]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[14]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[13]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[12]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[11]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[10]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[9]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[8]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[7]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[6]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[5]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[4]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[3]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[2]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[1]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|onchip_memory2_s1_arbitrator:the_onchip_memory2_s1|onchip_memory2_s1_arb_share_counter" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|onchip_memory2_s1_arbitrator:the_onchip_memory2_s1|last_cycle_cpu_instruction_master_granted_slave_onchip_memory2_s1" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|onchip_memory2_s1_arbitrator:the_onchip_memory2_s1|last_cycle_cpu_data_master_granted_slave_onchip_memory2_s1" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|onchip_memory2_s1_arbitrator:the_onchip_memory2_s1|onchip_memory2_s1_saved_chosen_master_vector[1]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|onchip_memory2_s1_arbitrator:the_onchip_memory2_s1|onchip_memory2_s1_reg_firsttransfer" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu_jtag_debug_module_arbitrator:the_cpu_jtag_debug_module|cpu_jtag_debug_module_arb_share_counter" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu_jtag_debug_module_arbitrator:the_cpu_jtag_debug_module|last_cycle_cpu_instruction_master_granted_slave_cpu_jtag_debug_module" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu_jtag_debug_module_arbitrator:the_cpu_jtag_debug_module|last_cycle_cpu_data_master_granted_slave_cpu_jtag_debug_module" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu_jtag_debug_module_arbitrator:the_cpu_jtag_debug_module|cpu_jtag_debug_module_saved_chosen_master_vector[1]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu_jtag_debug_module_arbitrator:the_cpu_jtag_debug_module|cpu_jtag_debug_module_reg_firsttransfer" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|onchip_memory2_s1_arbitrator:the_onchip_memory2_s1|onchip_memory2_s1_saved_chosen_master_vector[0]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|DRsize~3" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|DRsize~4" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|DRsize~5" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|DRsize.101" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[0]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[1]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[2]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[3]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[4]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[5]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[6]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[7]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[8]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[9]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[10]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[11]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[12]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[13]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[14]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[15]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[16]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[17]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[18]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[19]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[20]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[21]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[22]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[23]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[24]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[25]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[26]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[27]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[28]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[29]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[30]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[31]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[34]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[33]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[32]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_itrace:the_cpu_nios2_oci_itrace|itm[35]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[0]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[16]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[15]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[14]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[13]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[12]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[11]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[10]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[9]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[8]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[7]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[6]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[5]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[4]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[3]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[2]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_im:the_cpu_nios2_oci_im|trc_jtag_addr[1]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|onchip_memory2_s1_arbitrator:the_onchip_memory2_s1|onchip_memory2_s1_arb_share_counter" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|onchip_memory2_s1_arbitrator:the_onchip_memory2_s1|last_cycle_cpu_instruction_master_granted_slave_onchip_memory2_s1" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|onchip_memory2_s1_arbitrator:the_onchip_memory2_s1|last_cycle_cpu_data_master_granted_slave_onchip_memory2_s1" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|onchip_memory2_s1_arbitrator:the_onchip_memory2_s1|onchip_memory2_s1_saved_chosen_master_vector[1]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|onchip_memory2_s1_arbitrator:the_onchip_memory2_s1|onchip_memory2_s1_reg_firsttransfer" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu_jtag_debug_module_arbitrator:the_cpu_jtag_debug_module|cpu_jtag_debug_module_arb_share_counter" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu_jtag_debug_module_arbitrator:the_cpu_jtag_debug_module|last_cycle_cpu_instruction_master_granted_slave_cpu_jtag_debug_module" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu_jtag_debug_module_arbitrator:the_cpu_jtag_debug_module|last_cycle_cpu_data_master_granted_slave_cpu_jtag_debug_module" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu_jtag_debug_module_arbitrator:the_cpu_jtag_debug_module|cpu_jtag_debug_module_saved_chosen_master_vector[1]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu_jtag_debug_module_arbitrator:the_cpu_jtag_debug_module|cpu_jtag_debug_module_reg_firsttransfer" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|onchip_memory2_s1_arbitrator:the_onchip_memory2_s1|onchip_memory2_s1_saved_chosen_master_vector[0]" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|DRsize~3" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|DRsize~4" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|DRsize~5" lost all its fanouts during netlist optimizations. Info: Register "DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|DRsize.101" lost all its fanouts during netlist optimizations. Info: Timing-Driven Synthesis is running on partition "pzdyqx:nabboc" Info: Generated suppressed messages file C:/workspaces/alteramy/Myfirst_niosii/Myfirst_niosii.map.smsg Info: Generating hard_block partition "hard_block:auto_generated_inst" Warning: Design contains 3 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "CLOCK2_50" Warning (15610): No output dependent on input pin "CLOCK3_50" Warning (15610): No output dependent on input pin "FL_RY" Warning (15610): No output dependent on input pin "CLOCK2_50" Warning (15610): No output dependent on input pin "CLOCK3_50" Warning (15610): No output dependent on input pin "FL_RY" Info: Implemented 4162 device resources after synthesis - the final resource count might be different Info: Implemented 7 input pins Info: Implemented 56 output pins Info: Implemented 8 bidirectional pins Info: Implemented 3625 logic cells Info: Implemented 461 RAM segments Info: Implemented 4 DSP elements Info: Implemented 7 input pins Info: Implemented 56 output pins Info: Implemented 8 bidirectional pins Info: Implemented 3625 logic cells Info: Implemented 461 RAM segments Info: Implemented 4 DSP elements Info: Quartus II Analysis & Synthesis was successful. 0 errors, 125 warnings Info: Peak virtual memory: 281 megabytes Info: Processing ended: Sun Oct 21 10:58:02 2012 Info: Elapsed time: 00:00:32 Info: Total CPU time (on all processors): 00:00:29 Info: Peak virtual memory: 281 megabytes Info: Processing ended: Sun Oct 21 10:58:02 2012 Info: Elapsed time: 00:00:32 Info: Total CPU time (on all processors): 00:00:29 Info: ******************************************************************* Info: Running Quartus II Fitter Info: Version 10.0 Build 218 06/27/2010 SJ Full Version Info: Processing started: Sun Oct 21 10:58:06 2012 Info: Version 10.0 Build 218 06/27/2010 SJ Full Version Info: Processing started: Sun Oct 21 10:58:06 2012 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off Myfirst_niosii -c Myfirst_niosii Info: Only one processor detected - disabling parallel compilation Info: Selected device EP4CE115F29C7 for design "Myfirst_niosii" Info: high junction temperature operating condition is not set. Assuming a default value of '85'. Info: low junction temperature operating condition is not set. Assuming a default value of '0'. Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices Info: Device EP4CE40F29C7 is compatible Info: Device EP4CE40F29I7 is compatible Info: Device EP4CE30F29C7 is compatible Info: Device EP4CE30F29I7 is compatible Info: Device EP4CE55F29C7 is compatible Info: Device EP4CE55F29I7 is compatible Info: Device EP4CE75F29C7 is compatible Info: Device EP4CE75F29I7 is compatible Info: Device EP4CE115F29I7 is compatible Info: Device EP4CE40F29C7 is compatible Info: Device EP4CE40F29I7 is compatible Info: Device EP4CE30F29C7 is compatible Info: Device EP4CE30F29I7 is compatible Info: Device EP4CE55F29C7 is compatible Info: Device EP4CE55F29I7 is compatible Info: Device EP4CE75F29C7 is compatible Info: Device EP4CE75F29I7 is compatible Info: Device EP4CE115F29I7 is compatible Info: Fitter converted 5 user pins into dedicated programming pins Info: Pin ~ALTERA_ASDO_DATA1~ is reserved at location F4 Info: Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2 Info: Pin ~ALTERA_DCLK~ is reserved at location P3 Info: Pin ~ALTERA_DATA0~ is reserved at location N7 Info: Pin ~ALTERA_nCEO~ is reserved at location P28 Info: Pin ~ALTERA_ASDO_DATA1~ is reserved at location F4 Info: Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2 Info: Pin ~ALTERA_DCLK~ is reserved at location P3 Info: Pin ~ALTERA_DATA0~ is reserved at location N7 Info: Pin ~ALTERA_nCEO~ is reserved at location P28 Warning: Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details Info: Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Info: Timing-driven compilation is using the TimeQuest Timing Analyzer Info: Evaluating HDL-embedded SDC commands Info: Entity MDCK2395 Info: set_disable_timing [get_cells -hierarchical LJMV0916_0] Info: set_disable_timing [get_cells -hierarchical EPEO2888_0] Info: set_disable_timing [get_cells -hierarchical EPEO2888_1] Info: set_disable_timing [get_cells -hierarchical EPEO2888_2] Info: set_disable_timing [get_cells -hierarchical EPEO2888_3] Info: set_disable_timing [get_cells -hierarchical EPEO2888_4] Info: set_disable_timing [get_cells -hierarchical EPEO2888_5] Info: set_disable_timing [get_cells -hierarchical EPEO2888_6] Info: set_disable_timing [get_cells -hierarchical EPEO2888_7] Info: set_disable_timing [get_cells -hierarchical WCRO7487_0] Info: Entity alt_jtag_atlantic Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|jupdate}] -to [get_registers {*|alt_jtag_atlantic:*|jupdate1*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|read_req}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|read_write}] -to [get_registers {*|alt_jtag_atlantic:*|read_write1*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rvalid}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|user_saw_rvalid}] -to [get_registers {*|alt_jtag_atlantic:*|rvalid0*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_stalled}] -to [get_registers {*|alt_jtag_atlantic:*|t_ena*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_stalled}] -to [get_registers {*|alt_jtag_atlantic:*|t_pause*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_valid}] Info: Entity altera_std_synchronizer Info: set_false_path -to [get_keepers {*altera_std_synchronizer:*|din_s1}] Info: Entity pzdyqx_impl Info: set_false_path -from [get_keepers {altera_reserved_tdi}] -to [get_keepers {pzdyqx*}] Info: Entity sld_hub Info: create_clock -period 10MHz -name altera_reserved_tck [get_ports {altera_reserved_tck}] Info: set_clock_groups -asynchronous -group {altera_reserved_tck} Info: Entity MDCK2395 Info: set_disable_timing [get_cells -hierarchical LJMV0916_0] Info: set_disable_timing [get_cells -hierarchical EPEO2888_0] Info: set_disable_timing [get_cells -hierarchical EPEO2888_1] Info: set_disable_timing [get_cells -hierarchical EPEO2888_2] Info: set_disable_timing [get_cells -hierarchical EPEO2888_3] Info: set_disable_timing [get_cells -hierarchical EPEO2888_4] Info: set_disable_timing [get_cells -hierarchical EPEO2888_5] Info: set_disable_timing [get_cells -hierarchical EPEO2888_6] Info: set_disable_timing [get_cells -hierarchical EPEO2888_7] Info: set_disable_timing [get_cells -hierarchical WCRO7487_0] Info: set_disable_timing [get_cells -hierarchical LJMV0916_0] Info: set_disable_timing [get_cells -hierarchical EPEO2888_0] Info: set_disable_timing [get_cells -hierarchical EPEO2888_1] Info: set_disable_timing [get_cells -hierarchical EPEO2888_2] Info: set_disable_timing [get_cells -hierarchical EPEO2888_3] Info: set_disable_timing [get_cells -hierarchical EPEO2888_4] Info: set_disable_timing [get_cells -hierarchical EPEO2888_5] Info: set_disable_timing [get_cells -hierarchical EPEO2888_6] Info: set_disable_timing [get_cells -hierarchical EPEO2888_7] Info: set_disable_timing [get_cells -hierarchical WCRO7487_0] Info: Entity alt_jtag_atlantic Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|jupdate}] -to [get_registers {*|alt_jtag_atlantic:*|jupdate1*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|read_req}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|read_write}] -to [get_registers {*|alt_jtag_atlantic:*|read_write1*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rvalid}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|user_saw_rvalid}] -to [get_registers {*|alt_jtag_atlantic:*|rvalid0*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_stalled}] -to [get_registers {*|alt_jtag_atlantic:*|t_ena*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_stalled}] -to [get_registers {*|alt_jtag_atlantic:*|t_pause*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_valid}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|jupdate}] -to [get_registers {*|alt_jtag_atlantic:*|jupdate1*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|read_req}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|read_write}] -to [get_registers {*|alt_jtag_atlantic:*|read_write1*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rvalid}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|user_saw_rvalid}] -to [get_registers {*|alt_jtag_atlantic:*|rvalid0*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_stalled}] -to [get_registers {*|alt_jtag_atlantic:*|t_ena*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_stalled}] -to [get_registers {*|alt_jtag_atlantic:*|t_pause*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_valid}] Info: Entity altera_std_synchronizer Info: set_false_path -to [get_keepers {*altera_std_synchronizer:*|din_s1}] Info: set_false_path -to [get_keepers {*altera_std_synchronizer:*|din_s1}] Info: Entity pzdyqx_impl Info: set_false_path -from [get_keepers {altera_reserved_tdi}] -to [get_keepers {pzdyqx*}] Info: set_false_path -from [get_keepers {altera_reserved_tdi}] -to [get_keepers {pzdyqx*}] Info: Entity sld_hub Info: create_clock -period 10MHz -name altera_reserved_tck [get_ports {altera_reserved_tck}] Info: set_clock_groups -asynchronous -group {altera_reserved_tck} Info: create_clock -period 10MHz -name altera_reserved_tck [get_ports {altera_reserved_tck}] Info: set_clock_groups -asynchronous -group {altera_reserved_tck} Info: Reading SDC File: 'Myfirst_niosii.SDC' Info: Clock uncertainty calculation is delayed until the next update_timing_netlist call Info: Reading SDC File: 'cpu.sdc' Info: The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. Info: Detected timing requirements -- optimizing circuit to achieve only the specified requirements Info: Found 4 clocks Info: Period Clock Name Info: ======== ============ Info: 100.000 altera_reserved_tck Info: 20.000 CLOCK2_50 Info: 20.000 CLOCK3_50 Info: 20.000 CLOCK_50 Info: Period Clock Name Info: ======== ============ Info: 100.000 altera_reserved_tck Info: 20.000 CLOCK2_50 Info: 20.000 CLOCK3_50 Info: 20.000 CLOCK_50 Info: Automatically promoted node CLOCK_50~input (placed in PIN Y2 (CLK2, DIFFCLK_1p)) Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G4 Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G4 Info: Automatically promoted node altera_internal_jtag~TCKUTAP Info: Automatically promoted destinations to use location or clock signal Global Clock Info: Automatically promoted destinations to use location or clock signal Global Clock Info: Automatically promoted node altera_internal_jtag~CLKDRUSER Info: Automatically promoted destinations to use location or clock signal Global Clock Info: Automatically promoted destinations to use location or clock signal Global Clock Info: Automatically promoted node pzdyqx:nabboc|pzdyqx_impl:pzdyqx_impl_inst|MDCK2395:\cycloneiii_WCRO7487_gen_0:cycloneiii_WCRO7487_gen_1|EPEO2888_7 Info: Automatically promoted destinations to use location or clock signal Global Clock Info: Following destination nodes may be non-global or may not use global or regional clocks Info: Destination node pzdyqx:nabboc|pzdyqx_impl:pzdyqx_impl_inst|MDCK2395:\cycloneiii_WCRO7487_gen_0:cycloneiii_WCRO7487_gen_1|EPEO2888_7~0 Info: Automatically promoted destinations to use location or clock signal Global Clock Info: Following destination nodes may be non-global or may not use global or regional clocks Info: Destination node pzdyqx:nabboc|pzdyqx_impl:pzdyqx_impl_inst|MDCK2395:\cycloneiii_WCRO7487_gen_0:cycloneiii_WCRO7487_gen_1|EPEO2888_7~0 Info: Destination node pzdyqx:nabboc|pzdyqx_impl:pzdyqx_impl_inst|MDCK2395:\cycloneiii_WCRO7487_gen_0:cycloneiii_WCRO7487_gen_1|EPEO2888_7~0 Info: Automatically promoted node pzdyqx:nabboc|pzdyqx_impl:pzdyqx_impl_inst|MDCK2395:\cycloneiii_WCRO7487_gen_0:cycloneiii_WCRO7487_gen_1|WCRO7487_0 Info: Automatically promoted destinations to use location or clock signal Global Clock Info: Automatically promoted destinations to use location or clock signal Global Clock Info: Automatically promoted node altera_internal_jtag~UPDATEUSER Info: Automatically promoted destinations to use location or clock signal Global Clock Info: Following destination nodes may be non-global or may not use global or regional clocks Info: Destination node pzdyqx:nabboc|pzdyqx_impl:pzdyqx_impl_inst|VELJ8121:JDCF0099|EHEH8502 Info: Automatically promoted destinations to use location or clock signal Global Clock Info: Following destination nodes may be non-global or may not use global or regional clocks Info: Destination node pzdyqx:nabboc|pzdyqx_impl:pzdyqx_impl_inst|VELJ8121:JDCF0099|EHEH8502 Info: Destination node pzdyqx:nabboc|pzdyqx_impl:pzdyqx_impl_inst|VELJ8121:JDCF0099|EHEH8502 Info: Automatically promoted node DE2_115_SOPC:u0|cpu:the_cpu|hq3myc14108phmpo7y7qmhbp98hy0vq~0 Info: Automatically promoted destinations to use location or clock signal Global Clock Info: Following destination nodes may be non-global or may not use global or regional clocks Info: Destination node DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug|resetlatch~0 Info: Automatically promoted destinations to use location or clock signal Global Clock Info: Following destination nodes may be non-global or may not use global or regional clocks Info: Destination node DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug|resetlatch~0 Info: Destination node DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug|resetlatch~0 Info: Automatically promoted node DE2_115_SOPC:u0|DE2_115_SOPC_reset_clk_0_domain_synch_module:DE2_115_SOPC_reset_clk_0_domain_synch|data_out Info: Automatically promoted destinations to use location or clock signal Global Clock Info: Following destination nodes may be non-global or may not use global or regional clocks Info: Destination node DE2_115_SOPC:u0|cpu:the_cpu|hq3myc14108phmpo7y7qmhbp98hy0vq~0 Info: Destination node DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug|jtag_break~0 Info: Automatically promoted destinations to use location or clock signal Global Clock Info: Following destination nodes may be non-global or may not use global or regional clocks Info: Destination node DE2_115_SOPC:u0|cpu:the_cpu|hq3myc14108phmpo7y7qmhbp98hy0vq~0 Info: Destination node DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug|jtag_break~0 Info: Destination node DE2_115_SOPC:u0|cpu:the_cpu|hq3myc14108phmpo7y7qmhbp98hy0vq~0 Info: Destination node DE2_115_SOPC:u0|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug|jtag_break~0 Info: Starting register packing Info: Finished register packing Extra Info: Packed 10 registers into blocks of type EC Extra Info: Packed 64 registers into blocks of type Embedded multiplier block Extra Info: Created 32 register duplicates Extra Info: Packed 10 registers into blocks of type EC Extra Info: Packed 64 registers into blocks of type Embedded multiplier block Extra Info: Created 32 register duplicates Info: Fitter preparation operations ending: elapsed time is 00:00:09 Info: Fitter placement preparation operations beginning Info: Fitter placement preparation operations ending: elapsed time is 00:00:02 Info: Fitter placement operations beginning Info: Fitter placement was successful Info: Fitter placement operations ending: elapsed time is 00:00:10 Info: Fitter routing operations beginning Info: Router estimated average interconnect usage is 2% of the available device resources Info: Router estimated peak interconnect usage is 41% of the available device resources in the region that extends from location X58_Y37 to location X68_Y48 Info: Router estimated peak interconnect usage is 41% of the available device resources in the region that extends from location X58_Y37 to location X68_Y48 Info: Fitter routing operations ending: elapsed time is 00:00:14 Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info: Optimizations that may affect the design's routability were skipped Info: Optimizations that may affect the design's timing were skipped Info: Optimizations that may affect the design's routability were skipped Info: Optimizations that may affect the design's timing were skipped Info: Started post-fitting delay annotation Info: Delay annotation completed successfully Warning: 12 pins must meet Altera requirements for 3.3, 3.0, and 2.5-V interfaces. Refer to the device Application Note 447 (Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems). Info: Pin CLOCK2_50 uses I/O standard 3.3-V LVTTL at AG14 Info: Pin CLOCK3_50 uses I/O standard 3.3-V LVTTL at AG15 Info: Pin FL_RY uses I/O standard 3.3-V LVTTL at Y1 Info: Pin FL_DQ[0] uses I/O standard 3.3-V LVTTL at AH8 Info: Pin FL_DQ[1] uses I/O standard 3.3-V LVTTL at AF10 Info: Pin FL_DQ[2] uses I/O standard 3.3-V LVTTL at AG10 Info: Pin FL_DQ[3] uses I/O standard 3.3-V LVTTL at AH10 Info: Pin FL_DQ[4] uses I/O standard 3.3-V LVTTL at AF11 Info: Pin FL_DQ[5] uses I/O standard 3.3-V LVTTL at AG11 Info: Pin FL_DQ[6] uses I/O standard 3.3-V LVTTL at AH11 Info: Pin FL_DQ[7] uses I/O standard 3.3-V LVTTL at AF12 Info: Pin CLOCK_50 uses I/O standard 3.3-V LVTTL at Y2 Info: Pin CLOCK2_50 uses I/O standard 3.3-V LVTTL at AG14 Info: Pin CLOCK3_50 uses I/O standard 3.3-V LVTTL at AG15 Info: Pin FL_RY uses I/O standard 3.3-V LVTTL at Y1 Info: Pin FL_DQ[0] uses I/O standard 3.3-V LVTTL at AH8 Info: Pin FL_DQ[1] uses I/O standard 3.3-V LVTTL at AF10 Info: Pin FL_DQ[2] uses I/O standard 3.3-V LVTTL at AG10 Info: Pin FL_DQ[3] uses I/O standard 3.3-V LVTTL at AH10 Info: Pin FL_DQ[4] uses I/O standard 3.3-V LVTTL at AF11 Info: Pin FL_DQ[5] uses I/O standard 3.3-V LVTTL at AG11 Info: Pin FL_DQ[6] uses I/O standard 3.3-V LVTTL at AH11 Info: Pin FL_DQ[7] uses I/O standard 3.3-V LVTTL at AF12 Info: Pin CLOCK_50 uses I/O standard 3.3-V LVTTL at Y2 Warning: Following 8 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results Info: Pin FL_DQ[0] has a permanently disabled output enable Info: Pin FL_DQ[1] has a permanently disabled output enable Info: Pin FL_DQ[2] has a permanently disabled output enable Info: Pin FL_DQ[3] has a permanently disabled output enable Info: Pin FL_DQ[4] has a permanently disabled output enable Info: Pin FL_DQ[5] has a permanently disabled output enable Info: Pin FL_DQ[6] has a permanently disabled output enable Info: Pin FL_DQ[7] has a permanently disabled output enable Info: Pin FL_DQ[0] has a permanently disabled output enable Info: Pin FL_DQ[1] has a permanently disabled output enable Info: Pin FL_DQ[2] has a permanently disabled output enable Info: Pin FL_DQ[3] has a permanently disabled output enable Info: Pin FL_DQ[4] has a permanently disabled output enable Info: Pin FL_DQ[5] has a permanently disabled output enable Info: Pin FL_DQ[6] has a permanently disabled output enable Info: Pin FL_DQ[7] has a permanently disabled output enable Info: Generated suppressed messages file C:/workspaces/alteramy/Myfirst_niosii/Myfirst_niosii.fit.smsg Info: Quartus II Fitter was successful. 0 errors, 3 warnings Info: Peak virtual memory: 443 megabytes Info: Processing ended: Sun Oct 21 10:59:16 2012 Info: Elapsed time: 00:01:10 Info: Total CPU time (on all processors): 00:00:56 Info: Peak virtual memory: 443 megabytes Info: Processing ended: Sun Oct 21 10:59:16 2012 Info: Elapsed time: 00:01:10 Info: Total CPU time (on all processors): 00:00:56 Info: ******************************************************************* Info: Running Quartus II Assembler Info: Version 10.0 Build 218 06/27/2010 SJ Full Version Info: Processing started: Sun Oct 21 10:59:25 2012 Info: Version 10.0 Build 218 06/27/2010 SJ Full Version Info: Processing started: Sun Oct 21 10:59:25 2012 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off Myfirst_niosii -c Myfirst_niosii Info: ******************************************************************* Info: Running Quartus II TimeQuest Timing Analyzer Info: Version 10.0 Build 218 06/27/2010 SJ Full Version Info: Processing started: Sun Oct 21 10:59:24 2012 Info: Version 10.0 Build 218 06/27/2010 SJ Full Version Info: Processing started: Sun Oct 21 10:59:24 2012 Info: Command: quartus_sta Myfirst_niosii -c Myfirst_niosii Info: qsta_default_script.tcl version: #1 Info: Only one processor detected - disabling parallel compilation Info: high junction temperature operating condition is not set. Assuming a default value of '85'. Info: low junction temperature operating condition is not set. Assuming a default value of '0'. Info: Evaluating HDL-embedded SDC commands Info: Entity MDCK2395 Info: set_disable_timing [get_cells -hierarchical LJMV0916_0] Info: set_disable_timing [get_cells -hierarchical EPEO2888_0] Info: set_disable_timing [get_cells -hierarchical EPEO2888_1] Info: set_disable_timing [get_cells -hierarchical EPEO2888_2] Info: set_disable_timing [get_cells -hierarchical EPEO2888_3] Info: set_disable_timing [get_cells -hierarchical EPEO2888_4] Info: set_disable_timing [get_cells -hierarchical EPEO2888_5] Info: set_disable_timing [get_cells -hierarchical EPEO2888_6] Info: set_disable_timing [get_cells -hierarchical EPEO2888_7] Info: set_disable_timing [get_cells -hierarchical WCRO7487_0] Info: Entity alt_jtag_atlantic Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|jupdate}] -to [get_registers {*|alt_jtag_atlantic:*|jupdate1*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|read_req}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|read_write}] -to [get_registers {*|alt_jtag_atlantic:*|read_write1*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rvalid}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|user_saw_rvalid}] -to [get_registers {*|alt_jtag_atlantic:*|rvalid0*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_stalled}] -to [get_registers {*|alt_jtag_atlantic:*|t_ena*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_stalled}] -to [get_registers {*|alt_jtag_atlantic:*|t_pause*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_valid}] Info: Entity altera_std_synchronizer Info: set_false_path -to [get_keepers {*altera_std_synchronizer:*|din_s1}] Info: Entity pzdyqx_impl Info: set_false_path -from [get_keepers {altera_reserved_tdi}] -to [get_keepers {pzdyqx*}] Info: Entity sld_hub Info: create_clock -period 10MHz -name altera_reserved_tck [get_ports {altera_reserved_tck}] Info: set_clock_groups -asynchronous -group {altera_reserved_tck} Info: Entity MDCK2395 Info: set_disable_timing [get_cells -hierarchical LJMV0916_0] Info: set_disable_timing [get_cells -hierarchical EPEO2888_0] Info: set_disable_timing [get_cells -hierarchical EPEO2888_1] Info: set_disable_timing [get_cells -hierarchical EPEO2888_2] Info: set_disable_timing [get_cells -hierarchical EPEO2888_3] Info: set_disable_timing [get_cells -hierarchical EPEO2888_4] Info: set_disable_timing [get_cells -hierarchical EPEO2888_5] Info: set_disable_timing [get_cells -hierarchical EPEO2888_6] Info: set_disable_timing [get_cells -hierarchical EPEO2888_7] Info: set_disable_timing [get_cells -hierarchical WCRO7487_0] Info: set_disable_timing [get_cells -hierarchical LJMV0916_0] Info: set_disable_timing [get_cells -hierarchical EPEO2888_0] Info: set_disable_timing [get_cells -hierarchical EPEO2888_1] Info: set_disable_timing [get_cells -hierarchical EPEO2888_2] Info: set_disable_timing [get_cells -hierarchical EPEO2888_3] Info: set_disable_timing [get_cells -hierarchical EPEO2888_4] Info: set_disable_timing [get_cells -hierarchical EPEO2888_5] Info: set_disable_timing [get_cells -hierarchical EPEO2888_6] Info: set_disable_timing [get_cells -hierarchical EPEO2888_7] Info: set_disable_timing [get_cells -hierarchical WCRO7487_0] Info: Entity alt_jtag_atlantic Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|jupdate}] -to [get_registers {*|alt_jtag_atlantic:*|jupdate1*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|read_req}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|read_write}] -to [get_registers {*|alt_jtag_atlantic:*|read_write1*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rvalid}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|user_saw_rvalid}] -to [get_registers {*|alt_jtag_atlantic:*|rvalid0*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_stalled}] -to [get_registers {*|alt_jtag_atlantic:*|t_ena*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_stalled}] -to [get_registers {*|alt_jtag_atlantic:*|t_pause*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_valid}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|jupdate}] -to [get_registers {*|alt_jtag_atlantic:*|jupdate1*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rdata[*]}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|read_req}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|read_write}] -to [get_registers {*|alt_jtag_atlantic:*|read_write1*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|rvalid}] -to [get_registers {*|alt_jtag_atlantic*|td_shift[*]}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|td_shift[0]*}] Info: set_false_path -from [get_registers {*|t_dav}] -to [get_registers {*|alt_jtag_atlantic:*|write_stalled*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|user_saw_rvalid}] -to [get_registers {*|alt_jtag_atlantic:*|rvalid0*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|wdata[*]}] -to [get_registers {*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_stalled}] -to [get_registers {*|alt_jtag_atlantic:*|t_ena*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_stalled}] -to [get_registers {*|alt_jtag_atlantic:*|t_pause*}] Info: set_false_path -from [get_registers {*|alt_jtag_atlantic:*|write_valid}] Info: Entity altera_std_synchronizer Info: set_false_path -to [get_keepers {*altera_std_synchronizer:*|din_s1}] Info: set_false_path -to [get_keepers {*altera_std_synchronizer:*|din_s1}] Info: Entity pzdyqx_impl Info: set_false_path -from [get_keepers {altera_reserved_tdi}] -to [get_keepers {pzdyqx*}] Info: set_false_path -from [get_keepers {altera_reserved_tdi}] -to [get_keepers {pzdyqx*}] Info: Entity sld_hub Info: create_clock -period 10MHz -name altera_reserved_tck [get_ports {altera_reserved_tck}] Info: set_clock_groups -asynchronous -group {altera_reserved_tck} Info: create_clock -period 10MHz -name altera_reserved_tck [get_ports {altera_reserved_tck}] Info: set_clock_groups -asynchronous -group {altera_reserved_tck} Info: Reading SDC File: 'Myfirst_niosii.SDC' Info: Clock uncertainty calculation is delayed until the next update_timing_netlist call Info: Reading SDC File: 'cpu.sdc' Info: Deriving Clock Uncertainty Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: Analyzing Slow 1200mV 85C Model Info: Worst-case setup slack is 6.325 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 6.325 0.000 CLOCK_50 Info: 42.570 0.000 altera_reserved_tck Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 6.325 0.000 CLOCK_50 Info: 42.570 0.000 altera_reserved_tck Info: Worst-case hold slack is 0.274 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 0.274 0.000 CLOCK_50 Info: 0.385 0.000 altera_reserved_tck Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 0.274 0.000 CLOCK_50 Info: 0.385 0.000 altera_reserved_tck Info: Worst-case recovery slack is 43.595 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 43.595 0.000 altera_reserved_tck Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 43.595 0.000 altera_reserved_tck Critical Warning: Timing requirements not met Info: Worst-case removal slack is -2.197 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: -2.197 -2.197 altera_reserved_tck Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: -2.197 -2.197 altera_reserved_tck Info: Worst-case minimum pulse width slack is 9.420 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 9.420 0.000 CLOCK_50 Info: 16.000 0.000 CLOCK2_50 Info: 16.000 0.000 CLOCK3_50 Info: 29.213 0.000 altera_reserved_tck Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 9.420 0.000 CLOCK_50 Info: 16.000 0.000 CLOCK2_50 Info: 16.000 0.000 CLOCK3_50 Info: 29.213 0.000 altera_reserved_tck Info: Report Metastability: Found 4 synchronizer chains. Info: Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. Info: Number of Synchronizer Chains Found: 4 Info: Shortest Synchronizer Chain: 2 Registers Info: Fraction of Chains for which MTBFs Could Not be Calculated: 0.000 Info: Worst Case Available Settling Time: 38.244 ns Info: Info: Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. Info: - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 10.8 Info: Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. Info: Number of Synchronizer Chains Found: 4 Info: Shortest Synchronizer Chain: 2 Registers Info: Fraction of Chains for which MTBFs Could Not be Calculated: 0.000 Info: Worst Case Available Settling Time: 38.244 ns Info: Info: Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. Info: - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 10.8 Info: Analyzing Slow 1200mV 0C Model Info: Started post-fitting delay annotation Info: Delay annotation completed successfully Info: Deriving Clock Uncertainty Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: Worst-case setup slack is 6.630 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 6.630 0.000 CLOCK_50 Info: 42.938 0.000 altera_reserved_tck Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 6.630 0.000 CLOCK_50 Info: 42.938 0.000 altera_reserved_tck Info: Worst-case hold slack is 0.048 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 0.048 0.000 altera_reserved_tck Info: 0.288 0.000 CLOCK_50 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 0.048 0.000 altera_reserved_tck Info: 0.288 0.000 CLOCK_50 Info: Worst-case recovery slack is 43.862 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 43.862 0.000 altera_reserved_tck Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 43.862 0.000 altera_reserved_tck Critical Warning: Timing requirements not met Info: Worst-case removal slack is -2.185 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: -2.185 -2.185 altera_reserved_tck Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: -2.185 -2.185 altera_reserved_tck Info: Worst-case minimum pulse width slack is 9.444 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 9.444 0.000 CLOCK_50 Info: 16.000 0.000 CLOCK2_50 Info: 16.000 0.000 CLOCK3_50 Info: 29.426 0.000 altera_reserved_tck Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 9.444 0.000 CLOCK_50 Info: 16.000 0.000 CLOCK2_50 Info: 16.000 0.000 CLOCK3_50 Info: 29.426 0.000 altera_reserved_tck Info: Report Metastability: Found 4 synchronizer chains. Info: Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. Info: Number of Synchronizer Chains Found: 4 Info: Shortest Synchronizer Chain: 2 Registers Info: Fraction of Chains for which MTBFs Could Not be Calculated: 0.000 Info: Worst Case Available Settling Time: 38.424 ns Info: Info: Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. Info: - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 10.8 Info: Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. Info: Number of Synchronizer Chains Found: 4 Info: Shortest Synchronizer Chain: 2 Registers Info: Fraction of Chains for which MTBFs Could Not be Calculated: 0.000 Info: Worst Case Available Settling Time: 38.424 ns Info: Info: Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. Info: - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 10.8 Info: Analyzing Fast 1200mV 0C Model Info: Started post-fitting delay annotation Info: Delay annotation completed successfully Info: Deriving Clock Uncertainty Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -rise_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {CLOCK_50}] -fall_to [get_clocks {CLOCK_50}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -setup 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] -hold 0.020 Info: Worst-case setup slack is 7.787 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 7.787 0.000 CLOCK_50 Info: 46.440 0.000 altera_reserved_tck Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 7.787 0.000 CLOCK_50 Info: 46.440 0.000 altera_reserved_tck Info: Worst-case hold slack is 0.092 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 0.092 0.000 CLOCK_50 Info: 0.161 0.000 altera_reserved_tck Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 0.092 0.000 CLOCK_50 Info: 0.161 0.000 altera_reserved_tck Info: Worst-case recovery slack is 46.458 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 46.458 0.000 altera_reserved_tck Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 46.458 0.000 altera_reserved_tck Critical Warning: Timing requirements not met Info: Worst-case removal slack is -0.862 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: -0.862 -0.862 altera_reserved_tck Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: -0.862 -0.862 altera_reserved_tck Info: Worst-case minimum pulse width slack is 9.199 Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 9.199 0.000 CLOCK_50 Info: 16.000 0.000 CLOCK2_50 Info: 16.000 0.000 CLOCK3_50 Info: 29.248 0.000 altera_reserved_tck Info: Slack End Point TNS Clock Info: ========= ============= ===================== Info: 9.199 0.000 CLOCK_50 Info: 16.000 0.000 CLOCK2_50 Info: 16.000 0.000 CLOCK3_50 Info: 29.248 0.000 altera_reserved_tck Info: Report Metastability: Found 4 synchronizer chains. Info: Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. Info: Number of Synchronizer Chains Found: 4 Info: Shortest Synchronizer Chain: 2 Registers Info: Fraction of Chains for which MTBFs Could Not be Calculated: 0.000 Info: Worst Case Available Settling Time: 39.137 ns Info: Info: Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. Info: - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 10.8 Info: Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. Info: Number of Synchronizer Chains Found: 4 Info: Shortest Synchronizer Chain: 2 Registers Info: Fraction of Chains for which MTBFs Could Not be Calculated: 0.000 Info: Worst Case Available Settling Time: 39.137 ns Info: Info: Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. Info: - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 10.8 Info: Design is not fully constrained for setup requirements Info: Design is not fully constrained for hold requirements Info: Quartus II TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings Info: Peak virtual memory: 317 megabytes Info: Processing ended: Sun Oct 21 11:01:21 2012 Info: Elapsed time: 00:01:57 Info: Total CPU time (on all processors): 00:00:15 Info: Peak virtual memory: 317 megabytes Info: Processing ended: Sun Oct 21 11:01:21 2012 Info: Elapsed time: 00:01:57 Info: Total CPU time (on all processors): 00:00:15 Info: Writing out detailed assembly data for power analysis Info: Assembler is generating device programming files Info: Design contains a time-limited core -- only a single, time-limited programming file can be generated Warning: Can't convert time-limited SOF into POF, HEX File, TTF, or RBF Info: Quartus II Assembler was successful. 0 errors, 1 warning Info: Peak virtual memory: 429 megabytes Info: Processing ended: Sun Oct 21 11:02:24 2012 Info: Elapsed time: 00:02:59 Info: Total CPU time (on all processors): 00:00:12 Info: Peak virtual memory: 429 megabytes Info: Processing ended: Sun Oct 21 11:02:24 2012 Info: Elapsed time: 00:02:59 Info: Total CPU time (on all processors): 00:00:12 Info: Quartus II Full Compilation was successful. 0 errors, 132 warnings