Fitter report for fir_filter_16 Wed Sep 06 15:23:33 2017 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition --------------------- ; Table of Contents ; --------------------- 1. Legal Notice 2. Fitter Summary 3. Fitter Settings 4. Parallel Compilation 5. Fitter Device Options 6. Input Pins 7. Output Pins 8. All Package Pins 9. Control Signals 10. Global & Other Fast Signals 11. Carry Chains 12. Non-Global High Fan-Out Signals 13. LAB 14. Local Routing Interconnect 15. LAB External Interconnect 16. Row Interconnect 17. LAB Column Interconnect 18. LAB Column Interconnect 19. Fitter Resource Usage Summary 20. Fitter Resource Utilization by Entity 21. Delay Chain Summary 22. Pin-Out File 23. Fitter Messages ---------------- ; Legal Notice ; ---------------- Copyright (C) 1991-2009 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. +----------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+----------------------------------------------+ ; Fitter Status ; Successful - Wed Sep 06 15:23:33 2017 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; fir_filter_16 ; ; Top-level Entity Name ; fir_filter_16 ; ; Family ; FLEX10KA ; ; Device ; EPF10K100ABC600-1 ; ; Timing Models ; Final ; ; Total logic elements ; 3,038 / 4,992 ( 61 % ) ; ; Total pins ; 148 / 406 ( 36 % ) ; ; Total memory bits ; 0 / 24,576 ( 0 % ) ; +-----------------------+----------------------------------------------+ +------------------------------------------------------------------------------------------------------+ ; Fitter Settings ; +------------------------------------------------------------+--------------------+--------------------+ ; Option ; Setting ; Default Value ; +------------------------------------------------------------+--------------------+--------------------+ ; Device ; EPF10K100ABC600-1 ; ; ; Fitter Effort ; Standard Fit ; Auto Fit ; ; Use smart compilation ; Off ; Off ; ; Use TimeQuest Timing Analyzer ; Off ; Off ; ; Router Timing Optimization Level ; Normal ; Normal ; ; Placement Effort Multiplier ; 1.0 ; 1.0 ; ; Router Effort Multiplier ; 1.0 ; 1.0 ; ; Optimize Timing ; Normal compilation ; Normal compilation ; ; Optimize Timing for ECOs ; Off ; Off ; ; Regenerate full fit report during ECO compiles ; Off ; Off ; ; Optimize IOC Register Placement for Timing ; On ; On ; ; Limit to One Fitting Attempt ; Off ; Off ; ; Final Placement Optimizations ; Automatically ; Automatically ; ; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; ; Fitter Initial Placement Seed ; 1 ; 1 ; ; Slow Slew Rate ; Off ; Off ; ; PCI I/O ; Off ; Off ; ; Auto Global Memory Control Signals ; Off ; Off ; ; Logic Cell Insertion - Individual Logic Cells ; On ; On ; ; Logic Cell Insertion - I/Os Fed By Carry or Cascade Chains ; On ; On ; ; Auto Global Clock ; On ; On ; ; Auto Global Output Enable ; On ; On ; ; Auto Global Register Control Signals ; On ; On ; ; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +------------------------------------------------------------+--------------------+--------------------+ Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. +-------------------------------------+ ; Parallel Compilation ; +----------------------------+--------+ ; Processors ; Number ; +----------------------------+--------+ ; Number detected on machine ; 2 ; ; Maximum allowed ; 1 ; +----------------------------+--------+ +-------------------------------------------------------------------------+ ; Fitter Device Options ; +----------------------------------------------+--------------------------+ ; Option ; Setting ; +----------------------------------------------+--------------------------+ ; Enable user-supplied start-up clock (CLKUSR) ; Off ; ; Enable device-wide reset (DEV_CLRn) ; Off ; ; Enable device-wide output enable (DEV_OE) ; Off ; ; Enable INIT_DONE output ; Off ; ; Configuration scheme ; Passive Serial ; ; nWS, nRS, nCS, CS ; Unreserved ; ; RDYnBUSY ; Unreserved ; ; Data[7..1] ; Unreserved ; ; Reserve all unused pins ; As output driving ground ; ; Base pin-out file on sameframe device ; Off ; +----------------------------------------------+--------------------------+ +-----------------------------------------------------------------------------------------------------------------------------------------------+ ; Input Pins ; +---------------+-------+-----+------+---------+--------+--------------+-------------------------+---------------+---------------+--------------+ ; Name ; Pin # ; Row ; Col. ; Fan-Out ; Global ; I/O Register ; Use Local Routing Input ; Power Up High ; Single-Pin CE ; I/O Standard ; +---------------+-------+-----+------+---------+--------+--------------+-------------------------+---------------+---------------+--------------+ ; i_clk ; E18 ; -- ; -- ; 776 ; yes ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_rstb ; AM18 ; -- ; -- ; 776 ; yes ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_15[7] ; AN18 ; -- ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_14[7] ; D18 ; -- ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_13[7] ; C18 ; -- ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_12[7] ; AL18 ; -- ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_11[7] ; AH31 ; B ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_10[7] ; B19 ; -- ; 27 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_9[7] ; R33 ; H ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_8[7] ; W33 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_7[7] ; A17 ; -- ; 26 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_6[7] ; V3 ; G ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_5[7] ; Y4 ; E ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_4[7] ; B13 ; -- ; 16 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_3[7] ; AE1 ; C ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_2[7] ; AD5 ; C ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_1[7] ; AJ31 ; A ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_0[7] ; P35 ; I ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_data[7] ; N4 ; I ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_15[6] ; M33 ; J ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_14[6] ; N5 ; I ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_13[6] ; AL32 ; A ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_12[6] ; AC2 ; D ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_11[6] ; AF5 ; B ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_10[6] ; L3 ; K ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_9[6] ; R35 ; H ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_8[6] ; V31 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_7[6] ; K2 ; K ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_6[6] ; V2 ; G ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_5[6] ; Y32 ; E ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_4[6] ; Y34 ; E ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_3[6] ; B11 ; -- ; 12 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_2[6] ; AH34 ; C ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_1[6] ; AM34 ; A ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_0[6] ; P3 ; I ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_15[4] ; M3 ; J ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_15[5] ; N2 ; J ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_14[4] ; W3 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_14[5] ; AM21 ; -- ; 33 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_13[4] ; B22 ; -- ; 34 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_13[5] ; V33 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_12[4] ; AB3 ; D ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_12[5] ; AG35 ; D ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_11[4] ; K31 ; K ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_11[5] ; L5 ; K ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_10[4] ; AM22 ; -- ; 36 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_10[5] ; K4 ; K ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_9[4] ; R34 ; H ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_9[5] ; R32 ; H ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_8[4] ; W5 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_8[5] ; W34 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_7[4] ; E14 ; -- ; 17 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_7[5] ; L33 ; K ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_6[4] ; V1 ; G ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_6[5] ; V34 ; G ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_5[4] ; AA5 ; E ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_5[5] ; A24 ; -- ; 38 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_4[4] ; D15 ; -- ; 20 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_4[5] ; Y33 ; E ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_3[4] ; AD4 ; C ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_3[5] ; AD1 ; C ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_2[4] ; AG33 ; C ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_2[5] ; AG34 ; C ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_1[4] ; AM35 ; A ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_1[5] ; AH1 ; A ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_0[4] ; AA3 ; E ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_0[5] ; AA1 ; E ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_data[6] ; P34 ; I ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_data[5] ; AA2 ; E ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_data[2] ; AN26 ; -- ; 44 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_data[3] ; AA34 ; E ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_data[4] ; Y3 ; E ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_15[2] ; N35 ; J ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_15[3] ; M32 ; J ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_14[2] ; W2 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_14[3] ; W32 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_13[2] ; Y1 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_13[3] ; W35 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_12[2] ; AB35 ; D ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_12[3] ; AF34 ; D ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_11[2] ; L4 ; K ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_11[3] ; K35 ; K ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_10[2] ; K34 ; K ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_10[3] ; D19 ; -- ; 28 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_9[2] ; T34 ; H ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_9[3] ; T2 ; H ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_8[2] ; Y35 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_8[3] ; AP9 ; -- ; 7 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_7[2] ; L32 ; K ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_7[3] ; AR7 ; -- ; 3 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_6[2] ; U5 ; G ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_6[3] ; V35 ; G ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_5[2] ; AL29 ; -- ; 52 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_5[3] ; B26 ; -- ; 43 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_4[2] ; C16 ; -- ; 23 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_4[3] ; E8 ; -- ; 4 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_3[2] ; AG32 ; C ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_3[3] ; AP14 ; -- ; 19 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_2[2] ; AC5 ; C ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_2[3] ; AD3 ; C ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_1[2] ; AH4 ; A ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_1[3] ; AL33 ; A ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_0[2] ; D29 ; -- ; 51 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_0[3] ; AA35 ; E ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_data[1] ; Y5 ; E ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_0[0] ; AP29 ; -- ; 51 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_0[1] ; AN22 ; -- ; 35 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_1[0] ; AP21 ; -- ; 32 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_1[1] ; AH3 ; A ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_2[0] ; AH35 ; C ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_2[1] ; AH32 ; C ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_3[0] ; AD2 ; C ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_3[1] ; D17 ; -- ; 25 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_4[0] ; AA32 ; E ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_4[1] ; Y31 ; E ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_5[0] ; A30 ; -- ; 52 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_5[1] ; AA4 ; E ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_6[0] ; U35 ; G ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_6[1] ; U4 ; G ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_7[0] ; K3 ; K ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_7[1] ; J35 ; K ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_8[0] ; W1 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_8[1] ; A8 ; -- ; 5 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_9[0] ; R3 ; H ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_9[1] ; T1 ; H ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_10[0] ; L31 ; K ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_10[1] ; K1 ; K ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_11[0] ; AR22 ; -- ; 34 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_11[1] ; J1 ; K ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_12[0] ; AB2 ; D ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_12[1] ; AC1 ; D ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_13[0] ; W31 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_13[1] ; V5 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_14[0] ; V4 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_14[1] ; W4 ; F ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_15[0] ; L1 ; J ; -- ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_coeff_15[1] ; E29 ; -- ; 51 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; i_data[0] ; E23 ; -- ; 37 ; 1 ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; +---------------+-------+-----+------+---------+--------+--------------+-------------------------+---------------+---------------+--------------+ +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Output Pins ; +-----------+-------+-----+------+--------------+--------------------------+---------------+----------------+---------------+---------------+------------+---------------+--------------+ ; Name ; Pin # ; Row ; Col. ; I/O Register ; Use Local Routing Output ; Power Up High ; Slow Slew Rate ; Single-Pin OE ; Single-Pin CE ; Open Drain ; TRI Primitive ; I/O Standard ; +-----------+-------+-----+------+--------------+--------------------------+---------------+----------------+---------------+---------------+------------+---------------+--------------+ ; o_data[0] ; J5 ; L ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; o_data[1] ; H34 ; L ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; o_data[2] ; K5 ; L ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; o_data[3] ; H32 ; L ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; o_data[4] ; AA31 ; E ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; o_data[5] ; R2 ; H ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; o_data[6] ; U32 ; G ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; o_data[7] ; J32 ; L ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; o_data[8] ; K33 ; K ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; ; o_data[9] ; AB1 ; D ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; LVTTL/LVCMOS ; +-----------+-------+-----+------+--------------+--------------------------+---------------+----------------+---------------+---------------+------------+---------------+--------------+ +--------------------------------------+ ; All Package Pins ; +-------+---------------+--------------+ ; Pin # ; Usage ; I/O Standard ; +-------+---------------+--------------+ ; A1 ; GND_INT ; ; ; A2 ; GND_INT ; ; ; A3 ; GND_INT ; ; ; A4 ; GND_INT ; ; ; A5 ; GND_INT ; ; ; A6 ; GND* ; ; ; A7 ; GND* ; ; ; A8 ; i_coeff_8[1] ; LVTTL/LVCMOS ; ; A9 ; GND* ; ; ; A10 ; GND* ; ; ; A11 ; VCC_INT ; ; ; A12 ; GND* ; ; ; A13 ; GND* ; ; ; A14 ; GND* ; ; ; A15 ; GND* ; ; ; A16 ; GND* ; ; ; A17 ; i_coeff_7[7] ; LVTTL/LVCMOS ; ; A18 ; GND_INT ; ; ; A19 ; VCC_INT ; ; ; A20 ; VCC_IO ; ; ; A21 ; GND* ; ; ; A22 ; GND* ; ; ; A23 ; GND* ; ; ; A24 ; i_coeff_5[5] ; LVTTL/LVCMOS ; ; A25 ; GND* ; ; ; A26 ; GND* ; ; ; A27 ; VCC_IO ; ; ; A28 ; GND* ; ; ; A29 ; GND* ; ; ; A30 ; i_coeff_5[0] ; LVTTL/LVCMOS ; ; A31 ; GND_INT ; ; ; A32 ; GND_INT ; ; ; A33 ; GND_INT ; ; ; A34 ; GND_INT ; ; ; A35 ; GND_INT ; ; ; B1 ; VCC_INT ; ; ; B2 ; GND_INT ; ; ; B3 ; GND_INT ; ; ; B4 ; GND_INT ; ; ; B5 ; GND_INT ; ; ; B6 ; GND_INT ; ; ; B7 ; GND* ; ; ; B8 ; GND* ; ; ; B9 ; GND* ; ; ; B10 ; GND* ; ; ; B11 ; i_coeff_3[6] ; LVTTL/LVCMOS ; ; B12 ; GND* ; ; ; B13 ; i_coeff_4[7] ; LVTTL/LVCMOS ; ; B14 ; GND* ; ; ; B15 ; GND* ; ; ; B16 ; GND* ; ; ; B17 ; GND* ; ; ; B18 ; VCC_INT ; ; ; B19 ; i_coeff_10[7] ; LVTTL/LVCMOS ; ; B20 ; GND* ; ; ; B21 ; GND* ; ; ; B22 ; i_coeff_13[4] ; LVTTL/LVCMOS ; ; B23 ; GND* ; ; ; B24 ; GND* ; ; ; B25 ; GND* ; ; ; B26 ; i_coeff_5[3] ; LVTTL/LVCMOS ; ; B27 ; GND* ; ; ; B28 ; GND* ; ; ; B29 ; GND* ; ; ; B30 ; GND* ; ; ; B31 ; GND_INT ; ; ; B32 ; GND_INT ; ; ; B33 ; GND_INT ; ; ; B34 ; GND_INT ; ; ; B35 ; GND_INT ; ; ; C1 ; ^MSEL1 ; ; ; C2 ; VCC_IO ; ; ; C3 ; VCC_IO ; ; ; C4 ; VCC_IO ; ; ; C5 ; GND_INT ; ; ; C6 ; GND_INT ; ; ; C7 ; GND* ; ; ; C8 ; VCC_IO ; ; ; C9 ; GND* ; ; ; C10 ; GND* ; ; ; C11 ; GND* ; ; ; C12 ; GND* ; ; ; C13 ; GND* ; ; ; C14 ; GND* ; ; ; C15 ; VCC_IO ; ; ; C16 ; i_coeff_4[2] ; LVTTL/LVCMOS ; ; C17 ; GND* ; ; ; C18 ; i_coeff_13[7] ; LVTTL/LVCMOS ; ; C19 ; GND* ; ; ; C20 ; GND* ; ; ; C21 ; GND* ; ; ; C22 ; GND* ; ; ; C23 ; VCC_IO ; ; ; C24 ; GND* ; ; ; C25 ; GND* ; ; ; C26 ; GND* ; ; ; C27 ; GND* ; ; ; C28 ; GND* ; ; ; C29 ; GND* ; ; ; C30 ; GND_INT ; ; ; C31 ; GND_INT ; ; ; C32 ; VCC_IO ; ; ; C33 ; VCC_IO ; ; ; C34 ; #TRST ; ; ; C35 ; #TMS ; ; ; D1 ; NC ; ; ; D2 ; NC ; ; ; D3 ; NC ; ; ; D4 ; ^nCONFIG ; ; ; D5 ; VCC_IO ; ; ; D6 ; GND_INT ; ; ; D7 ; GND* ; ; ; D8 ; GND* ; ; ; D9 ; GND* ; ; ; D10 ; GND* ; ; ; D11 ; GND* ; ; ; D12 ; GND* ; ; ; D13 ; GND* ; ; ; D14 ; GND* ; ; ; D15 ; i_coeff_4[4] ; LVTTL/LVCMOS ; ; D16 ; GND* ; ; ; D17 ; i_coeff_3[1] ; LVTTL/LVCMOS ; ; D18 ; i_coeff_14[7] ; LVTTL/LVCMOS ; ; D19 ; i_coeff_10[3] ; LVTTL/LVCMOS ; ; D20 ; GND* ; ; ; D21 ; GND* ; ; ; D22 ; GND* ; ; ; D23 ; GND* ; ; ; D24 ; VCC_INT ; ; ; D25 ; GND* ; ; ; D26 ; GND* ; ; ; D27 ; GND* ; ; ; D28 ; GND* ; ; ; D29 ; i_coeff_0[2] ; LVTTL/LVCMOS ; ; D30 ; GND_INT ; ; ; D31 ; VCC_IO ; ; ; D32 ; ^nSTATUS ; ; ; D33 ; NC ; ; ; D34 ; NC ; ; ; D35 ; NC ; ; ; E1 ; NC ; ; ; E2 ; VCC_INT ; ; ; E3 ; NC ; ; ; E4 ; NC ; ; ; E5 ; VCC_IO ; ; ; E6 ; GND_INT ; ; ; E7 ; GND* ; ; ; E8 ; i_coeff_4[3] ; LVTTL/LVCMOS ; ; E9 ; GND* ; ; ; E10 ; GND* ; ; ; E11 ; GND* ; ; ; E12 ; VCC_IO ; ; ; E13 ; GND* ; ; ; E14 ; i_coeff_7[4] ; LVTTL/LVCMOS ; ; E15 ; GND* ; ; ; E16 ; GND* ; ; ; E17 ; GND* ; ; ; E18 ; i_clk ; LVTTL/LVCMOS ; ; E19 ; GND* ; ; ; E20 ; GND* ; ; ; E21 ; GND* ; ; ; E22 ; GND* ; ; ; E23 ; i_data[0] ; LVTTL/LVCMOS ; ; E24 ; GND* ; ; ; E25 ; GND* ; ; ; E26 ; GND* ; ; ; E27 ; GND* ; ; ; E28 ; GND* ; ; ; E29 ; i_coeff_15[1] ; LVTTL/LVCMOS ; ; E30 ; GND_IO ; ; ; E31 ; VCC_IO ; ; ; E32 ; NC ; ; ; E33 ; NC ; ; ; E34 ; NC ; ; ; E35 ; NC ; ; ; F1 ; NC ; ; ; F2 ; NC ; ; ; F3 ; NC ; ; ; F4 ; NC ; ; ; F5 ; ^MSEL0 ; ; ; F31 ; VCC_INT ; ; ; F32 ; NC ; ; ; F33 ; NC ; ; ; F34 ; NC ; ; ; F35 ; VCC_INT ; ; ; G1 ; NC ; ; ; G2 ; NC ; ; ; G3 ; NC ; ; ; G4 ; NC ; ; ; G5 ; NC ; ; ; G31 ; NC ; ; ; G32 ; NC ; ; ; G33 ; NC ; ; ; G34 ; NC ; ; ; G35 ; NC ; ; ; H1 ; VCC_INT ; ; ; H2 ; GND* ; ; ; H3 ; GND* ; ; ; H4 ; GND* ; ; ; H5 ; NC ; ; ; H31 ; NC ; ; ; H32 ; o_data[3] ; LVTTL/LVCMOS ; ; H33 ; GND* ; ; ; H34 ; o_data[1] ; LVTTL/LVCMOS ; ; H35 ; GND* ; ; ; J1 ; i_coeff_11[1] ; LVTTL/LVCMOS ; ; J2 ; GND* ; ; ; J3 ; GND* ; ; ; J4 ; GND* ; ; ; J5 ; o_data[0] ; LVTTL/LVCMOS ; ; J31 ; GND* ; ; ; J32 ; o_data[7] ; LVTTL/LVCMOS ; ; J33 ; GND* ; ; ; J34 ; GND* ; ; ; J35 ; i_coeff_7[1] ; LVTTL/LVCMOS ; ; K1 ; i_coeff_10[1] ; LVTTL/LVCMOS ; ; K2 ; i_coeff_7[6] ; LVTTL/LVCMOS ; ; K3 ; i_coeff_7[0] ; LVTTL/LVCMOS ; ; K4 ; i_coeff_10[5] ; LVTTL/LVCMOS ; ; K5 ; o_data[2] ; LVTTL/LVCMOS ; ; K31 ; i_coeff_11[4] ; LVTTL/LVCMOS ; ; K32 ; VCC_INT ; ; ; K33 ; o_data[8] ; LVTTL/LVCMOS ; ; K34 ; i_coeff_10[2] ; LVTTL/LVCMOS ; ; K35 ; i_coeff_11[3] ; LVTTL/LVCMOS ; ; L1 ; i_coeff_15[0] ; LVTTL/LVCMOS ; ; L2 ; GND* ; ; ; L3 ; i_coeff_10[6] ; LVTTL/LVCMOS ; ; L4 ; i_coeff_11[2] ; LVTTL/LVCMOS ; ; L5 ; i_coeff_11[5] ; LVTTL/LVCMOS ; ; L31 ; i_coeff_10[0] ; LVTTL/LVCMOS ; ; L32 ; i_coeff_7[2] ; LVTTL/LVCMOS ; ; L33 ; i_coeff_7[5] ; LVTTL/LVCMOS ; ; L34 ; GND* ; ; ; L35 ; GND* ; ; ; M1 ; GND* ; ; ; M2 ; VCC_INT ; ; ; M3 ; i_coeff_15[4] ; LVTTL/LVCMOS ; ; M4 ; GND* ; ; ; M5 ; GND* ; ; ; M31 ; GND* ; ; ; M32 ; i_coeff_15[3] ; LVTTL/LVCMOS ; ; M33 ; i_coeff_15[6] ; LVTTL/LVCMOS ; ; M34 ; GND* ; ; ; M35 ; GND* ; ; ; N1 ; GND* ; ; ; N2 ; i_coeff_15[5] ; LVTTL/LVCMOS ; ; N3 ; GND* ; ; ; N4 ; i_data[7] ; LVTTL/LVCMOS ; ; N5 ; i_coeff_14[6] ; LVTTL/LVCMOS ; ; N31 ; GND* ; ; ; N32 ; GND* ; ; ; N33 ; GND* ; ; ; N34 ; VCC_INT ; ; ; N35 ; i_coeff_15[2] ; LVTTL/LVCMOS ; ; P1 ; GND* ; ; ; P2 ; GND* ; ; ; P3 ; i_coeff_0[6] ; LVTTL/LVCMOS ; ; P4 ; GND* ; ; ; P5 ; VCC_INT ; ; ; P31 ; GND* ; ; ; P32 ; GND* ; ; ; P33 ; GND* ; ; ; P34 ; i_data[6] ; LVTTL/LVCMOS ; ; P35 ; i_coeff_0[7] ; LVTTL/LVCMOS ; ; R1 ; GND* ; ; ; R2 ; o_data[5] ; LVTTL/LVCMOS ; ; R3 ; i_coeff_9[0] ; LVTTL/LVCMOS ; ; R4 ; GND* ; ; ; R5 ; GND* ; ; ; R31 ; GND* ; ; ; R32 ; i_coeff_9[5] ; LVTTL/LVCMOS ; ; R33 ; i_coeff_9[7] ; LVTTL/LVCMOS ; ; R34 ; i_coeff_9[4] ; LVTTL/LVCMOS ; ; R35 ; i_coeff_9[6] ; LVTTL/LVCMOS ; ; T1 ; i_coeff_9[1] ; LVTTL/LVCMOS ; ; T2 ; i_coeff_9[3] ; LVTTL/LVCMOS ; ; T3 ; GND* ; ; ; T4 ; GND* ; ; ; T5 ; GND* ; ; ; T31 ; GND* ; ; ; T32 ; GND* ; ; ; T33 ; GND* ; ; ; T34 ; i_coeff_9[2] ; LVTTL/LVCMOS ; ; T35 ; VCC_INT ; ; ; U1 ; GND* ; ; ; U2 ; GND* ; ; ; U3 ; VCC_INT ; ; ; U4 ; i_coeff_6[1] ; LVTTL/LVCMOS ; ; U5 ; i_coeff_6[2] ; LVTTL/LVCMOS ; ; U31 ; GND* ; ; ; U32 ; o_data[6] ; LVTTL/LVCMOS ; ; U33 ; GND* ; ; ; U34 ; GND* ; ; ; U35 ; i_coeff_6[0] ; LVTTL/LVCMOS ; ; V1 ; i_coeff_6[4] ; LVTTL/LVCMOS ; ; V2 ; i_coeff_6[6] ; LVTTL/LVCMOS ; ; V3 ; i_coeff_6[7] ; LVTTL/LVCMOS ; ; V4 ; i_coeff_14[0] ; LVTTL/LVCMOS ; ; V5 ; i_coeff_13[1] ; LVTTL/LVCMOS ; ; V31 ; i_coeff_8[6] ; LVTTL/LVCMOS ; ; V32 ; VCC_INT ; ; ; V33 ; i_coeff_13[5] ; LVTTL/LVCMOS ; ; V34 ; i_coeff_6[5] ; LVTTL/LVCMOS ; ; V35 ; i_coeff_6[3] ; LVTTL/LVCMOS ; ; W1 ; i_coeff_8[0] ; LVTTL/LVCMOS ; ; W2 ; i_coeff_14[2] ; LVTTL/LVCMOS ; ; W3 ; i_coeff_14[4] ; LVTTL/LVCMOS ; ; W4 ; i_coeff_14[1] ; LVTTL/LVCMOS ; ; W5 ; i_coeff_8[4] ; LVTTL/LVCMOS ; ; W31 ; i_coeff_13[0] ; LVTTL/LVCMOS ; ; W32 ; i_coeff_14[3] ; LVTTL/LVCMOS ; ; W33 ; i_coeff_8[7] ; LVTTL/LVCMOS ; ; W34 ; i_coeff_8[5] ; LVTTL/LVCMOS ; ; W35 ; i_coeff_13[3] ; LVTTL/LVCMOS ; ; Y1 ; i_coeff_13[2] ; LVTTL/LVCMOS ; ; Y2 ; VCC_INT ; ; ; Y3 ; i_data[4] ; LVTTL/LVCMOS ; ; Y4 ; i_coeff_5[7] ; LVTTL/LVCMOS ; ; Y5 ; i_data[1] ; LVTTL/LVCMOS ; ; Y31 ; i_coeff_4[1] ; LVTTL/LVCMOS ; ; Y32 ; i_coeff_5[6] ; LVTTL/LVCMOS ; ; Y33 ; i_coeff_4[5] ; LVTTL/LVCMOS ; ; Y34 ; i_coeff_4[6] ; LVTTL/LVCMOS ; ; Y35 ; i_coeff_8[2] ; LVTTL/LVCMOS ; ; AA1 ; i_coeff_0[5] ; LVTTL/LVCMOS ; ; AA2 ; i_data[5] ; LVTTL/LVCMOS ; ; AA3 ; i_coeff_0[4] ; LVTTL/LVCMOS ; ; AA4 ; i_coeff_5[1] ; LVTTL/LVCMOS ; ; AA5 ; i_coeff_5[4] ; LVTTL/LVCMOS ; ; AA31 ; o_data[4] ; LVTTL/LVCMOS ; ; AA32 ; i_coeff_4[0] ; LVTTL/LVCMOS ; ; AA33 ; VCC_INT ; ; ; AA34 ; i_data[3] ; LVTTL/LVCMOS ; ; AA35 ; i_coeff_0[3] ; LVTTL/LVCMOS ; ; AB1 ; o_data[9] ; LVTTL/LVCMOS ; ; AB2 ; i_coeff_12[0] ; LVTTL/LVCMOS ; ; AB3 ; i_coeff_12[4] ; LVTTL/LVCMOS ; ; AB4 ; GND* ; ; ; AB5 ; VCC_INT ; ; ; AB31 ; NC ; ; ; AB32 ; NC ; ; ; AB33 ; NC ; ; ; AB34 ; NC ; ; ; AB35 ; i_coeff_12[2] ; LVTTL/LVCMOS ; ; AC1 ; i_coeff_12[1] ; LVTTL/LVCMOS ; ; AC2 ; i_coeff_12[6] ; LVTTL/LVCMOS ; ; AC3 ; GND* ; ; ; AC4 ; GND* ; ; ; AC5 ; i_coeff_2[2] ; LVTTL/LVCMOS ; ; AC31 ; NC ; ; ; AC32 ; NC ; ; ; AC33 ; NC ; ; ; AC34 ; NC ; ; ; AC35 ; NC ; ; ; AD1 ; i_coeff_3[5] ; LVTTL/LVCMOS ; ; AD2 ; i_coeff_3[0] ; LVTTL/LVCMOS ; ; AD3 ; i_coeff_2[3] ; LVTTL/LVCMOS ; ; AD4 ; i_coeff_3[4] ; LVTTL/LVCMOS ; ; AD5 ; i_coeff_2[7] ; LVTTL/LVCMOS ; ; AD31 ; NC ; ; ; AD32 ; NC ; ; ; AD33 ; NC ; ; ; AD34 ; NC ; ; ; AD35 ; VCC_INT ; ; ; AE1 ; i_coeff_3[7] ; LVTTL/LVCMOS ; ; AE2 ; GND* ; ; ; AE3 ; GND* ; ; ; AE4 ; VCC_INT ; ; ; AE5 ; GND* ; ; ; AE31 ; GND* ; ; ; AE32 ; GND* ; ; ; AE33 ; NC ; ; ; AE34 ; NC ; ; ; AE35 ; NC ; ; ; AF1 ; GND* ; ; ; AF2 ; GND* ; ; ; AF3 ; GND* ; ; ; AF4 ; GND* ; ; ; AF5 ; i_coeff_11[6] ; LVTTL/LVCMOS ; ; AF31 ; GND* ; ; ; AF32 ; VCC_INT ; ; ; AF33 ; GND* ; ; ; AF34 ; i_coeff_12[3] ; LVTTL/LVCMOS ; ; AF35 ; GND* ; ; ; AG1 ; GND* ; ; ; AG2 ; GND* ; ; ; AG3 ; GND* ; ; ; AG4 ; GND* ; ; ; AG5 ; VCC_INT ; ; ; AG31 ; GND* ; ; ; AG32 ; i_coeff_3[2] ; LVTTL/LVCMOS ; ; AG33 ; i_coeff_2[4] ; LVTTL/LVCMOS ; ; AG34 ; i_coeff_2[5] ; LVTTL/LVCMOS ; ; AG35 ; i_coeff_12[5] ; LVTTL/LVCMOS ; ; AH1 ; i_coeff_1[5] ; LVTTL/LVCMOS ; ; AH2 ; GND* ; ; ; AH3 ; i_coeff_1[1] ; LVTTL/LVCMOS ; ; AH4 ; i_coeff_1[2] ; LVTTL/LVCMOS ; ; AH5 ; NC ; ; ; AH31 ; i_coeff_11[7] ; LVTTL/LVCMOS ; ; AH32 ; i_coeff_2[1] ; LVTTL/LVCMOS ; ; AH33 ; GND* ; ; ; AH34 ; i_coeff_2[6] ; LVTTL/LVCMOS ; ; AH35 ; i_coeff_2[0] ; LVTTL/LVCMOS ; ; AJ1 ; GND* ; ; ; AJ2 ; NC ; ; ; AJ3 ; NC ; ; ; AJ4 ; NC ; ; ; AJ5 ; NC ; ; ; AJ31 ; i_coeff_1[7] ; LVTTL/LVCMOS ; ; AJ32 ; GND* ; ; ; AJ33 ; GND* ; ; ; AJ34 ; GND* ; ; ; AJ35 ; GND* ; ; ; AK1 ; NC ; ; ; AK2 ; NC ; ; ; AK3 ; NC ; ; ; AK4 ; NC ; ; ; AK5 ; NC ; ; ; AK31 ; VCC_INT ; ; ; AK32 ; GND* ; ; ; AK33 ; GND* ; ; ; AK34 ; GND* ; ; ; AK35 ; VCC_INT ; ; ; AL1 ; NC ; ; ; AL2 ; NC ; ; ; AL3 ; VCC_INT ; ; ; AL4 ; NC ; ; ; AL5 ; VCC_IO ; ; ; AL6 ; GND_IO ; ; ; AL7 ; GND* ; ; ; AL8 ; GND* ; ; ; AL9 ; GND* ; ; ; AL10 ; GND* ; ; ; AL11 ; GND* ; ; ; AL12 ; VCC_IO ; ; ; AL13 ; GND* ; ; ; AL14 ; GND* ; ; ; AL15 ; GND* ; ; ; AL16 ; GND* ; ; ; AL17 ; GND* ; ; ; AL18 ; i_coeff_12[7] ; LVTTL/LVCMOS ; ; AL19 ; GND* ; ; ; AL20 ; GND* ; ; ; AL21 ; GND* ; ; ; AL22 ; GND* ; ; ; AL23 ; GND* ; ; ; AL24 ; GND* ; ; ; AL25 ; GND* ; ; ; AL26 ; GND* ; ; ; AL27 ; GND* ; ; ; AL28 ; GND* ; ; ; AL29 ; i_coeff_5[2] ; LVTTL/LVCMOS ; ; AL30 ; GND_IO ; ; ; AL31 ; #TCK ; ; ; AL32 ; i_coeff_13[6] ; LVTTL/LVCMOS ; ; AL33 ; i_coeff_1[3] ; LVTTL/LVCMOS ; ; AL34 ; GND* ; ; ; AL35 ; GND* ; ; ; AM1 ; NC ; ; ; AM2 ; NC ; ; ; AM3 ; NC ; ; ; AM4 ; ^DATA0 ; ; ; AM5 ; VCC_IO ; ; ; AM6 ; GND_IO ; ; ; AM7 ; GND* ; ; ; AM8 ; GND* ; ; ; AM9 ; GND* ; ; ; AM10 ; GND* ; ; ; AM11 ; GND* ; ; ; AM12 ; GND* ; ; ; AM13 ; GND* ; ; ; AM14 ; GND* ; ; ; AM15 ; GND* ; ; ; AM16 ; GND* ; ; ; AM17 ; GND* ; ; ; AM18 ; i_rstb ; LVTTL/LVCMOS ; ; AM19 ; VCC_IO ; ; ; AM20 ; GND* ; ; ; AM21 ; i_coeff_14[5] ; LVTTL/LVCMOS ; ; AM22 ; i_coeff_10[4] ; LVTTL/LVCMOS ; ; AM23 ; GND* ; ; ; AM24 ; GND* ; ; ; AM25 ; GND* ; ; ; AM26 ; VCC_IO ; ; ; AM27 ; GND* ; ; ; AM28 ; GND* ; ; ; AM29 ; GND* ; ; ; AM30 ; GND_IO ; ; ; AM31 ; VCC_IO ; ; ; AM32 ; ^CONF_DONE ; ; ; AM33 ; GND* ; ; ; AM34 ; i_coeff_1[6] ; LVTTL/LVCMOS ; ; AM35 ; i_coeff_1[4] ; LVTTL/LVCMOS ; ; AN1 ; #TDI ; ; ; AN2 ; ^nCE ; ; ; AN3 ; VCC_IO ; ; ; AN4 ; VCC_IO ; ; ; AN5 ; GND_IO ; ; ; AN6 ; GND_IO ; ; ; AN7 ; GND* ; ; ; AN8 ; VCC_IO ; ; ; AN9 ; GND* ; ; ; AN10 ; GND* ; ; ; AN11 ; GND* ; ; ; AN12 ; GND* ; ; ; AN13 ; GND* ; ; ; AN14 ; GND* ; ; ; AN15 ; VCC_IO ; ; ; AN16 ; GND* ; ; ; AN17 ; GND* ; ; ; AN18 ; i_coeff_15[7] ; LVTTL/LVCMOS ; ; AN19 ; GND* ; ; ; AN20 ; GND* ; ; ; AN21 ; GND* ; ; ; AN22 ; i_coeff_0[1] ; LVTTL/LVCMOS ; ; AN23 ; GND* ; ; ; AN24 ; GND* ; ; ; AN25 ; GND* ; ; ; AN26 ; i_data[2] ; LVTTL/LVCMOS ; ; AN27 ; GND* ; ; ; AN28 ; GND* ; ; ; AN29 ; GND* ; ; ; AN30 ; GND_IO ; ; ; AN31 ; GND_IO ; ; ; AN32 ; VCC_IO ; ; ; AN33 ; VCC_IO ; ; ; AN34 ; #TDO ; ; ; AN35 ; GND_INT ; ; ; AP1 ; ^DCLK ; ; ; AP2 ; GND_IO ; ; ; AP3 ; GND_IO ; ; ; AP4 ; GND_IO ; ; ; AP5 ; GND_IO ; ; ; AP6 ; GND_IO ; ; ; AP7 ; GND* ; ; ; AP8 ; GND* ; ; ; AP9 ; i_coeff_8[3] ; LVTTL/LVCMOS ; ; AP10 ; GND* ; ; ; AP11 ; GND* ; ; ; AP12 ; GND* ; ; ; AP13 ; GND* ; ; ; AP14 ; i_coeff_3[3] ; LVTTL/LVCMOS ; ; AP15 ; GND* ; ; ; AP16 ; GND* ; ; ; AP17 ; GND* ; ; ; AP18 ; GND* ; ; ; AP19 ; GND* ; ; ; AP20 ; GND* ; ; ; AP21 ; i_coeff_1[0] ; LVTTL/LVCMOS ; ; AP22 ; GND* ; ; ; AP23 ; GND* ; ; ; AP24 ; VCC_INT ; ; ; AP25 ; GND* ; ; ; AP26 ; GND* ; ; ; AP27 ; GND* ; ; ; AP28 ; GND* ; ; ; AP29 ; i_coeff_0[0] ; LVTTL/LVCMOS ; ; AP30 ; GND_IO ; ; ; AP31 ; GND_IO ; ; ; AP32 ; GND_IO ; ; ; AP33 ; GND_IO ; ; ; AP34 ; VCC_IO ; ; ; AP35 ; ^nCEO ; ; ; AR1 ; GND_IO ; ; ; AR2 ; GND_IO ; ; ; AR3 ; GND_IO ; ; ; AR4 ; GND_IO ; ; ; AR5 ; GND_IO ; ; ; AR6 ; GND* ; ; ; AR7 ; i_coeff_7[3] ; LVTTL/LVCMOS ; ; AR8 ; GND* ; ; ; AR9 ; GND* ; ; ; AR10 ; GND* ; ; ; AR11 ; VCC_INT ; ; ; AR12 ; GND* ; ; ; AR13 ; GND* ; ; ; AR14 ; GND* ; ; ; AR15 ; GND* ; ; ; AR16 ; GND* ; ; ; AR17 ; GND* ; ; ; AR18 ; VCC_INT ; ; ; AR19 ; GND* ; ; ; AR20 ; GND* ; ; ; AR21 ; GND* ; ; ; AR22 ; i_coeff_11[0] ; LVTTL/LVCMOS ; ; AR23 ; VCC_IO ; ; ; AR24 ; GND* ; ; ; AR25 ; GND* ; ; ; AR26 ; GND* ; ; ; AR27 ; GND* ; ; ; AR28 ; GND* ; ; ; AR29 ; GND* ; ; ; AR30 ; GND_IO ; ; ; AR31 ; GND_IO ; ; ; AR32 ; GND_IO ; ; ; AR33 ; GND_IO ; ; ; AR34 ; GND_IO ; ; ; AR35 ; GND_IO ; ; +-------+---------------+--------------+ +--------------------------------------------------------+ ; Control Signals ; +--------+-------+---------+--------------+--------------+ ; Name ; Pin # ; Fan-Out ; Usage ; Global Usage ; +--------+-------+---------+--------------+--------------+ ; i_clk ; E18 ; 776 ; Clock ; Pin ; ; i_rstb ; AM18 ; 776 ; Async. clear ; Pin ; +--------+-------+---------+--------------+--------------+ +------------------------------------------+ ; Global & Other Fast Signals ; +---------------+-------+---------+--------+ ; Name ; Pin # ; Fan-Out ; Global ; +---------------+-------+---------+--------+ ; i_clk ; E18 ; 776 ; yes ; ; i_rstb ; AM18 ; 776 ; yes ; ; i_coeff_15[7] ; AN18 ; 1 ; no ; ; i_coeff_14[7] ; D18 ; 1 ; no ; ; i_coeff_13[7] ; C18 ; 1 ; no ; ; i_coeff_12[7] ; AL18 ; 1 ; no ; +---------------+-------+---------+--------+ +---------------------------------------------+ ; Carry Chains ; +--------------------+------------------------+ ; Carry Chain Length ; Number of Carry Chains ; +--------------------+------------------------+ ; 0 - 1 ; 0 ; ; 2 - 3 ; 0 ; ; 4 - 5 ; 32 ; ; 6 - 7 ; 0 ; ; 8 - 9 ; 0 ; ; 10 - 11 ; 0 ; ; 12 - 13 ; 0 ; ; 14 - 15 ; 0 ; ; 16 - 17 ; 8 ; ; 18 - 19 ; 7 ; +--------------------+------------------------+ +-----------------------------------------------------------------+ ; Non-Global High Fan-Out Signals ; +-------------------------------------------------------+---------+ ; Name ; Fan-Out ; +-------------------------------------------------------+---------+ ; r_coeff[15][7]~160 ; 22 ; ; r_coeff[9][7]~166 ; 22 ; ; r_coeff[8][7]~167 ; 22 ; ; r_coeff[0][7]~175 ; 22 ; ; r_coeff[7][7]~168 ; 22 ; ; r_coeff[5][7]~170 ; 22 ; ; r_coeff[2][7]~173 ; 22 ; ; r_coeff[1][7]~174 ; 22 ; ; r_coeff[4][7]~171 ; 22 ; ; r_coeff[3][7]~172 ; 22 ; ; r_coeff[6][7]~169 ; 22 ; ; r_coeff[14][7]~161 ; 22 ; ; r_coeff[13][7]~162 ; 22 ; ; r_coeff[12][7]~163 ; 22 ; ; r_coeff[11][7]~164 ; 22 ; ; r_coeff[10][7]~165 ; 22 ; ; lpm_mult:Mult11|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult15|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult14|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult9|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult1|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult0|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult8|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult4|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult2|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult3|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult7|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult6|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult5|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult13|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult12|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult10|mult_6d01:auto_generated|cs1a[0]~COUT ; 14 ; ; lpm_mult:Mult5|mult_6d01:auto_generated|cs1a[2]~COUT ; 12 ; ; lpm_mult:Mult15|mult_6d01:auto_generated|cs1a[1]~COUT ; 12 ; ; lpm_mult:Mult11|mult_6d01:auto_generated|cs1a[2]~COUT ; 12 ; ; lpm_mult:Mult11|mult_6d01:auto_generated|cs1a[1]~COUT ; 12 ; ; lpm_mult:Mult7|mult_6d01:auto_generated|cs1a[2]~COUT ; 12 ; ; lpm_mult:Mult3|mult_6d01:auto_generated|cs1a[2]~COUT ; 12 ; ; lpm_mult:Mult3|mult_6d01:auto_generated|cs1a[1]~COUT ; 12 ; ; lpm_mult:Mult15|mult_6d01:auto_generated|cs1a[2]~COUT ; 12 ; ; lpm_mult:Mult5|mult_6d01:auto_generated|cs1a[1]~COUT ; 12 ; ; lpm_mult:Mult4|mult_6d01:auto_generated|cs1a[2]~COUT ; 12 ; ; lpm_mult:Mult2|mult_6d01:auto_generated|cs1a[2]~COUT ; 12 ; ; lpm_mult:Mult0|mult_6d01:auto_generated|cs1a[2]~COUT ; 12 ; ; lpm_mult:Mult0|mult_6d01:auto_generated|cs1a[1]~COUT ; 12 ; ; lpm_mult:Mult2|mult_6d01:auto_generated|cs1a[1]~COUT ; 12 ; ; lpm_mult:Mult1|mult_6d01:auto_generated|cs1a[2]~COUT ; 12 ; ; lpm_mult:Mult1|mult_6d01:auto_generated|cs1a[1]~COUT ; 12 ; ; lpm_mult:Mult14|mult_6d01:auto_generated|cs1a[2]~COUT ; 12 ; ; lpm_mult:Mult14|mult_6d01:auto_generated|cs1a[1]~COUT ; 12 ; +-------------------------------------------------------+---------+ +-------------------------------------------+ ; LAB ; +--------------------------+----------------+ ; Number of Logic Elements ; Number of LABs ; +--------------------------+----------------+ ; 0 ; 26 ; ; 1 ; 161 ; ; 2 ; 65 ; ; 3 ; 24 ; ; 4 ; 10 ; ; 5 ; 4 ; ; 6 ; 18 ; ; 7 ; 21 ; ; 8 ; 295 ; +--------------------------+----------------+ +----------------------------------------------+ ; Local Routing Interconnect ; +-----------------------------+----------------+ ; Local Routing Interconnects ; Number of LABs ; +-----------------------------+----------------+ ; 0 ; 250 ; ; 1 ; 56 ; ; 2 ; 20 ; ; 3 ; 50 ; ; 4 ; 112 ; ; 5 ; 129 ; ; 6 ; 7 ; +-----------------------------+----------------+ +---------------------------------------------+ ; LAB External Interconnect ; +----------------------------+----------------+ ; LAB External Interconnects ; Number of LABs ; +----------------------------+----------------+ ; 0 ; 26 ; ; 1 ; 163 ; ; 2 ; 45 ; ; 3 ; 38 ; ; 4 ; 13 ; ; 5 ; 12 ; ; 6 ; 40 ; ; 7 ; 60 ; ; 8 ; 62 ; ; 9 ; 53 ; ; 10 ; 57 ; ; 11 ; 29 ; ; 12 ; 7 ; ; 13 ; 4 ; ; 14 ; 0 ; ; 15 ; 0 ; ; 16 ; 15 ; +----------------------------+----------------+ +--------------------------------------------------------------------------------------------+ ; Row Interconnect ; +-------+-----------------------+-----------------------------+------------------------------+ ; Row ; Interconnect Used ; Left Half Interconnect Used ; Right Half Interconnect Used ; +-------+-----------------------+-----------------------------+------------------------------+ ; A ; 185 / 208 ( 89 % ) ; 48 / 104 ( 46 % ) ; 46 / 104 ( 44 % ) ; ; B ; 124 / 208 ( 60 % ) ; 3 / 104 ( 3 % ) ; 6 / 104 ( 6 % ) ; ; C ; 184 / 208 ( 88 % ) ; 11 / 104 ( 11 % ) ; 22 / 104 ( 21 % ) ; ; D ; 107 / 208 ( 51 % ) ; 5 / 104 ( 5 % ) ; 11 / 104 ( 11 % ) ; ; E ; 185 / 208 ( 89 % ) ; 30 / 104 ( 29 % ) ; 28 / 104 ( 27 % ) ; ; F ; 138 / 208 ( 66 % ) ; 13 / 104 ( 13 % ) ; 20 / 104 ( 19 % ) ; ; G ; 133 / 208 ( 64 % ) ; 10 / 104 ( 10 % ) ; 7 / 104 ( 7 % ) ; ; H ; 139 / 208 ( 67 % ) ; 12 / 104 ( 12 % ) ; 11 / 104 ( 11 % ) ; ; I ; 172 / 208 ( 83 % ) ; 27 / 104 ( 26 % ) ; 24 / 104 ( 23 % ) ; ; J ; 148 / 208 ( 71 % ) ; 11 / 104 ( 11 % ) ; 19 / 104 ( 18 % ) ; ; K ; 136 / 208 ( 65 % ) ; 16 / 104 ( 15 % ) ; 16 / 104 ( 15 % ) ; ; L ; 180 / 208 ( 87 % ) ; 56 / 104 ( 54 % ) ; 13 / 104 ( 13 % ) ; ; Total ; 1831 / 2496 ( 73 % ) ; 242 / 1248 ( 19 % ) ; 223 / 1248 ( 18 % ) ; +-------+-----------------------+-----------------------------+------------------------------+ +------------------------------+ ; LAB Column Interconnect ; +-------+----------------------+ ; Col. ; Interconnect Used ; +-------+----------------------+ ; 1 ; 13 / 24 ( 54 % ) ; ; 2 ; 8 / 24 ( 33 % ) ; ; 3 ; 18 / 24 ( 75 % ) ; ; 4 ; 11 / 24 ( 46 % ) ; ; 5 ; 19 / 24 ( 79 % ) ; ; 6 ; 14 / 24 ( 58 % ) ; ; 7 ; 15 / 24 ( 63 % ) ; ; 8 ; 18 / 24 ( 75 % ) ; ; 9 ; 16 / 24 ( 67 % ) ; ; 10 ; 19 / 24 ( 79 % ) ; ; 11 ; 10 / 24 ( 42 % ) ; ; 12 ; 14 / 24 ( 58 % ) ; ; 13 ; 10 / 24 ( 42 % ) ; ; 14 ; 15 / 24 ( 63 % ) ; ; 15 ; 12 / 24 ( 50 % ) ; ; 16 ; 10 / 24 ( 42 % ) ; ; 17 ; 13 / 24 ( 54 % ) ; ; 18 ; 12 / 24 ( 50 % ) ; ; 19 ; 14 / 24 ( 58 % ) ; ; 20 ; 15 / 24 ( 63 % ) ; ; 21 ; 10 / 24 ( 42 % ) ; ; 22 ; 7 / 24 ( 29 % ) ; ; 23 ; 11 / 24 ( 46 % ) ; ; 24 ; 12 / 24 ( 50 % ) ; ; 25 ; 7 / 24 ( 29 % ) ; ; 26 ; 9 / 24 ( 38 % ) ; ; 27 ; 11 / 24 ( 46 % ) ; ; 28 ; 7 / 24 ( 29 % ) ; ; 29 ; 9 / 24 ( 38 % ) ; ; 30 ; 8 / 24 ( 33 % ) ; ; 31 ; 8 / 24 ( 33 % ) ; ; 32 ; 11 / 24 ( 46 % ) ; ; 33 ; 13 / 24 ( 54 % ) ; ; 34 ; 18 / 24 ( 75 % ) ; ; 35 ; 13 / 24 ( 54 % ) ; ; 36 ; 17 / 24 ( 71 % ) ; ; 37 ; 14 / 24 ( 58 % ) ; ; 38 ; 15 / 24 ( 63 % ) ; ; 39 ; 13 / 24 ( 54 % ) ; ; 40 ; 11 / 24 ( 46 % ) ; ; 41 ; 14 / 24 ( 58 % ) ; ; 42 ; 12 / 24 ( 50 % ) ; ; 43 ; 7 / 24 ( 29 % ) ; ; 44 ; 14 / 24 ( 58 % ) ; ; 45 ; 9 / 24 ( 38 % ) ; ; 46 ; 13 / 24 ( 54 % ) ; ; 47 ; 5 / 24 ( 21 % ) ; ; 48 ; 7 / 24 ( 29 % ) ; ; 49 ; 8 / 24 ( 33 % ) ; ; 50 ; 4 / 24 ( 17 % ) ; ; 51 ; 12 / 24 ( 50 % ) ; ; 52 ; 6 / 24 ( 25 % ) ; ; Total ; 611 / 1248 ( 49 % ) ; +-------+----------------------+ +---------------------------+ ; LAB Column Interconnect ; +-------+-------------------+ ; Col. ; Interconnect Used ; +-------+-------------------+ ; 1 ; 0 / 24 ( 0 % ) ; ; Total ; 0 / 24 ( 0 % ) ; +-------+-------------------+ +------------------------------------------------------------+ ; Fitter Resource Usage Summary ; +-----------------------------------+------------------------+ ; Resource ; Usage ; +-----------------------------------+------------------------+ ; Total logic elements ; 3,038 / 4,992 ( 61 % ) ; ; Registers ; 776 / 4,992 ( 16 % ) ; ; Logic elements in carry chains ; 390 ; ; User inserted logic elements ; 0 ; ; I/O pins ; 148 / 406 ( 36 % ) ; ; -- Clock pins ; 3 / 2 ( 150 % ) ; ; -- Dedicated input pins ; 7 / 4 ( 175 % ) ; ; Global signals ; 2 ; ; EABs ; 0 / 12 ( 0 % ) ; ; Total memory bits ; 0 / 24,576 ( 0 % ) ; ; Total RAM block bits ; 0 / 24,576 ( 0 % ) ; ; Maximum fan-out node ; i_clk ; ; Maximum fan-out ; 776 ; ; Highest non-global fan-out signal ; r_coeff[15][7] ; ; Highest non-global fan-out ; 22 ; ; Total fan-out ; 9397 ; ; Average fan-out ; 2.95 ; +-----------------------------------+------------------------+ +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Fitter Resource Utilization by Entity ; +------------------------------------------+-------------+--------------+-------------+------+--------------+-------------------+------------------+-----------------+------------+----------------------------------------------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +------------------------------------------+-------------+--------------+-------------+------+--------------+-------------------+------------------+-----------------+------------+----------------------------------------------------------------------------------------------------------------+--------------+ ; |fir_filter_16 ; 3038 (776) ; 776 ; 0 ; 148 ; 2262 (0) ; 728 (728) ; 48 (48) ; 390 (32) ; 0 (0) ; |fir_filter_16 ; work ; ; |lpm_add_sub:Add0| ; 17 (0) ; 0 ; 0 ; 0 ; 17 (0) ; 0 (0) ; 0 (0) ; 17 (0) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add0 ; work ; ; |addcore:adder| ; 17 (1) ; 0 ; 0 ; 0 ; 17 (1) ; 0 (0) ; 0 (0) ; 17 (1) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add0|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 16 (16) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add0|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:Add10| ; 18 (0) ; 0 ; 0 ; 0 ; 18 (0) ; 0 (0) ; 0 (0) ; 18 (0) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add10 ; work ; ; |addcore:adder| ; 18 (1) ; 0 ; 0 ; 0 ; 18 (1) ; 0 (0) ; 0 (0) ; 18 (1) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add10|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 17 (17) ; 0 ; 0 ; 0 ; 17 (17) ; 0 (0) ; 0 (0) ; 17 (17) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add10|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:Add11| ; 18 (0) ; 0 ; 0 ; 0 ; 18 (0) ; 0 (0) ; 0 (0) ; 18 (0) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add11 ; work ; ; |addcore:adder| ; 18 (1) ; 0 ; 0 ; 0 ; 18 (1) ; 0 (0) ; 0 (0) ; 18 (1) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add11|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 17 (17) ; 0 ; 0 ; 0 ; 17 (17) ; 0 (0) ; 0 (0) ; 17 (17) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add11|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:Add12| ; 18 (0) ; 0 ; 0 ; 0 ; 18 (0) ; 0 (0) ; 0 (0) ; 18 (0) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add12 ; work ; ; |addcore:adder| ; 18 (1) ; 0 ; 0 ; 0 ; 18 (1) ; 0 (0) ; 0 (0) ; 18 (1) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add12|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 17 (17) ; 0 ; 0 ; 0 ; 17 (17) ; 0 (0) ; 0 (0) ; 17 (17) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add12|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:Add13| ; 18 (0) ; 0 ; 0 ; 0 ; 18 (0) ; 0 (0) ; 0 (0) ; 18 (0) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add13 ; work ; ; |addcore:adder| ; 18 (1) ; 0 ; 0 ; 0 ; 18 (1) ; 0 (0) ; 0 (0) ; 18 (1) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add13|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 17 (17) ; 0 ; 0 ; 0 ; 17 (17) ; 0 (0) ; 0 (0) ; 17 (17) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add13|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:Add14| ; 18 (0) ; 0 ; 0 ; 0 ; 18 (0) ; 0 (0) ; 0 (0) ; 18 (0) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add14 ; work ; ; |addcore:adder| ; 18 (1) ; 0 ; 0 ; 0 ; 18 (1) ; 0 (0) ; 0 (0) ; 18 (1) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add14|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 17 (17) ; 0 ; 0 ; 0 ; 17 (17) ; 0 (0) ; 0 (0) ; 17 (17) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add14|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:Add1| ; 17 (0) ; 0 ; 0 ; 0 ; 17 (0) ; 0 (0) ; 0 (0) ; 17 (0) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add1 ; work ; ; |addcore:adder| ; 17 (1) ; 0 ; 0 ; 0 ; 17 (1) ; 0 (0) ; 0 (0) ; 17 (1) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 16 (16) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:Add2| ; 17 (0) ; 0 ; 0 ; 0 ; 17 (0) ; 0 (0) ; 0 (0) ; 17 (0) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add2 ; work ; ; |addcore:adder| ; 17 (1) ; 0 ; 0 ; 0 ; 17 (1) ; 0 (0) ; 0 (0) ; 17 (1) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 16 (16) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:Add3| ; 17 (0) ; 0 ; 0 ; 0 ; 17 (0) ; 0 (0) ; 0 (0) ; 17 (0) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add3 ; work ; ; |addcore:adder| ; 17 (1) ; 0 ; 0 ; 0 ; 17 (1) ; 0 (0) ; 0 (0) ; 17 (1) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add3|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 16 (16) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add3|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:Add4| ; 17 (0) ; 0 ; 0 ; 0 ; 17 (0) ; 0 (0) ; 0 (0) ; 17 (0) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add4 ; work ; ; |addcore:adder| ; 17 (1) ; 0 ; 0 ; 0 ; 17 (1) ; 0 (0) ; 0 (0) ; 17 (1) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 16 (16) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:Add5| ; 17 (0) ; 0 ; 0 ; 0 ; 17 (0) ; 0 (0) ; 0 (0) ; 17 (0) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add5 ; work ; ; |addcore:adder| ; 17 (1) ; 0 ; 0 ; 0 ; 17 (1) ; 0 (0) ; 0 (0) ; 17 (1) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add5|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 16 (16) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add5|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:Add6| ; 17 (0) ; 0 ; 0 ; 0 ; 17 (0) ; 0 (0) ; 0 (0) ; 17 (0) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add6 ; work ; ; |addcore:adder| ; 17 (1) ; 0 ; 0 ; 0 ; 17 (1) ; 0 (0) ; 0 (0) ; 17 (1) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add6|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 16 (16) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add6|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:Add7| ; 17 (0) ; 0 ; 0 ; 0 ; 17 (0) ; 0 (0) ; 0 (0) ; 17 (0) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add7 ; work ; ; |addcore:adder| ; 17 (1) ; 0 ; 0 ; 0 ; 17 (1) ; 0 (0) ; 0 (0) ; 17 (1) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add7|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 16 (16) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add7|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:Add8| ; 18 (0) ; 0 ; 0 ; 0 ; 18 (0) ; 0 (0) ; 0 (0) ; 18 (0) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add8 ; work ; ; |addcore:adder| ; 18 (1) ; 0 ; 0 ; 0 ; 18 (1) ; 0 (0) ; 0 (0) ; 18 (1) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add8|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 17 (17) ; 0 ; 0 ; 0 ; 17 (17) ; 0 (0) ; 0 (0) ; 17 (17) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add8|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:Add9| ; 18 (0) ; 0 ; 0 ; 0 ; 18 (0) ; 0 (0) ; 0 (0) ; 18 (0) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add9 ; work ; ; |addcore:adder| ; 18 (1) ; 0 ; 0 ; 0 ; 18 (1) ; 0 (0) ; 0 (0) ; 18 (1) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add9|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 17 (17) ; 0 ; 0 ; 0 ; 17 (17) ; 0 (0) ; 0 (0) ; 17 (17) ; 0 (0) ; |fir_filter_16|lpm_add_sub:Add9|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult0| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult0 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult0|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult0|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult0|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult0|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult0|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult0|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult0|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult0|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult0|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult0|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult10| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult10 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult10|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult10|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult10|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult10|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult10|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult10|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult10|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult10|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult10|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult10|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult11| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult11 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult11|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult11|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult11|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult11|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult11|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult11|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult11|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult11|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult11|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult11|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult12| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult12 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult12|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult12|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult12|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult12|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult12|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult12|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult12|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult12|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult12|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult12|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult13| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult13 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult13|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult13|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult13|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult13|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult13|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult13|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult13|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult13|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult13|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult13|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult14| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult14 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult14|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult14|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult14|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult14|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult14|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult14|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult14|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult14|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult14|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult14|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult15| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult15 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult15|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult15|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult15|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult15|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult15|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult15|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult15|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult15|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult15|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult15|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult1| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult1 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult1|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult1|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult1|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult1|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult1|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult1|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult1|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult1|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult1|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult1|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult2| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult2 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult2|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult2|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult2|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult2|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult2|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult2|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult2|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult2|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult2|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult2|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult3| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult3 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult3|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult3|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult3|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult3|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult3|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult3|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult3|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult3|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult3|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult3|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult4| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult4 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult4|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult4|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult4|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult4|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult4|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult4|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult4|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult4|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult4|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult4|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult5| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult5 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult5|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult5|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult5|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult5|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult5|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult5|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult5|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult5|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult5|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult5|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult6| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult6 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult6|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult6|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult6|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult6|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult6|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult6|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult6|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult6|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult6|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult6|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult7| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult7 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult7|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult7|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult7|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult7|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult7|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult7|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult7|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult7|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult7|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult7|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult8| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult8 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult8|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult8|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult8|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult8|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult8|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult8|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult8|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult8|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult8|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult8|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_mult:Mult9| ; 125 (0) ; 0 ; 0 ; 0 ; 125 (0) ; 0 (0) ; 0 (0) ; 6 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult9 ; work ; ; |mult_6d01:auto_generated| ; 125 (55) ; 0 ; 0 ; 0 ; 125 (55) ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult9|mult_6d01:auto_generated ; work ; ; |lpm_add_sub:op_1| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult9|mult_6d01:auto_generated|lpm_add_sub:op_1 ; work ; ; |addcore:adder| ; 24 (0) ; 0 ; 0 ; 0 ; 24 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult9|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 24 (24) ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult9|mult_6d01:auto_generated|lpm_add_sub:op_1|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_2| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult9|mult_6d01:auto_generated|lpm_add_sub:op_2 ; work ; ; |addcore:adder| ; 16 (0) ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult9|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 16 (16) ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult9|mult_6d01:auto_generated|lpm_add_sub:op_2|addcore:adder|a_csnbuffer:result_node ; work ; ; |lpm_add_sub:op_4| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult9|mult_6d01:auto_generated|lpm_add_sub:op_4 ; work ; ; |addcore:adder| ; 30 (0) ; 0 ; 0 ; 0 ; 30 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult9|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder ; work ; ; |a_csnbuffer:result_node| ; 30 (30) ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |fir_filter_16|lpm_mult:Mult9|mult_6d01:auto_generated|lpm_add_sub:op_4|addcore:adder|a_csnbuffer:result_node ; work ; +------------------------------------------+-------------+--------------+-------------+------+--------------+-------------------+------------------+-----------------+------------+----------------------------------------------------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. +----------------------------------------+ ; Delay Chain Summary ; +---------------+----------+-------------+ ; Name ; Pin Type ; Pad to Core ; +---------------+----------+-------------+ ; i_clk ; Input ; OFF ; ; i_rstb ; Input ; OFF ; ; i_coeff_15[7] ; Input ; OFF ; ; i_coeff_14[7] ; Input ; OFF ; ; i_coeff_13[7] ; Input ; OFF ; ; i_coeff_12[7] ; Input ; OFF ; ; i_coeff_11[7] ; Input ; OFF ; ; i_coeff_10[7] ; Input ; OFF ; ; i_coeff_9[7] ; Input ; OFF ; ; i_coeff_8[7] ; Input ; OFF ; ; i_coeff_7[7] ; Input ; OFF ; ; i_coeff_6[7] ; Input ; OFF ; ; i_coeff_5[7] ; Input ; OFF ; ; i_coeff_4[7] ; Input ; OFF ; ; i_coeff_3[7] ; Input ; OFF ; ; i_coeff_2[7] ; Input ; OFF ; ; i_coeff_1[7] ; Input ; OFF ; ; i_coeff_0[7] ; Input ; OFF ; ; i_data[7] ; Input ; OFF ; ; i_coeff_15[6] ; Input ; OFF ; ; i_coeff_14[6] ; Input ; OFF ; ; i_coeff_13[6] ; Input ; OFF ; ; i_coeff_12[6] ; Input ; OFF ; ; i_coeff_11[6] ; Input ; OFF ; ; i_coeff_10[6] ; Input ; OFF ; ; i_coeff_9[6] ; Input ; OFF ; ; i_coeff_8[6] ; Input ; OFF ; ; i_coeff_7[6] ; Input ; OFF ; ; i_coeff_6[6] ; Input ; OFF ; ; i_coeff_5[6] ; Input ; OFF ; ; i_coeff_4[6] ; Input ; OFF ; ; i_coeff_3[6] ; Input ; OFF ; ; i_coeff_2[6] ; Input ; OFF ; ; i_coeff_1[6] ; Input ; OFF ; ; i_coeff_0[6] ; Input ; OFF ; ; i_coeff_15[4] ; Input ; OFF ; ; i_coeff_15[5] ; Input ; OFF ; ; i_coeff_14[4] ; Input ; OFF ; ; i_coeff_14[5] ; Input ; OFF ; ; i_coeff_13[4] ; Input ; OFF ; ; i_coeff_13[5] ; Input ; OFF ; ; i_coeff_12[4] ; Input ; OFF ; ; i_coeff_12[5] ; Input ; OFF ; ; i_coeff_11[4] ; Input ; OFF ; ; i_coeff_11[5] ; Input ; OFF ; ; i_coeff_10[4] ; Input ; OFF ; ; i_coeff_10[5] ; Input ; OFF ; ; i_coeff_9[4] ; Input ; OFF ; ; i_coeff_9[5] ; Input ; OFF ; ; i_coeff_8[4] ; Input ; OFF ; ; i_coeff_8[5] ; Input ; OFF ; ; i_coeff_7[4] ; Input ; OFF ; ; i_coeff_7[5] ; Input ; OFF ; ; i_coeff_6[4] ; Input ; OFF ; ; i_coeff_6[5] ; Input ; OFF ; ; i_coeff_5[4] ; Input ; OFF ; ; i_coeff_5[5] ; Input ; OFF ; ; i_coeff_4[4] ; Input ; OFF ; ; i_coeff_4[5] ; Input ; OFF ; ; i_coeff_3[4] ; Input ; OFF ; ; i_coeff_3[5] ; Input ; OFF ; ; i_coeff_2[4] ; Input ; OFF ; ; i_coeff_2[5] ; Input ; OFF ; ; i_coeff_1[4] ; Input ; OFF ; ; i_coeff_1[5] ; Input ; OFF ; ; i_coeff_0[4] ; Input ; OFF ; ; i_coeff_0[5] ; Input ; OFF ; ; i_data[6] ; Input ; OFF ; ; i_data[5] ; Input ; OFF ; ; i_data[2] ; Input ; OFF ; ; i_data[3] ; Input ; OFF ; ; i_data[4] ; Input ; OFF ; ; i_coeff_15[2] ; Input ; OFF ; ; i_coeff_15[3] ; Input ; OFF ; ; i_coeff_14[2] ; Input ; OFF ; ; i_coeff_14[3] ; Input ; OFF ; ; i_coeff_13[2] ; Input ; OFF ; ; i_coeff_13[3] ; Input ; OFF ; ; i_coeff_12[2] ; Input ; OFF ; ; i_coeff_12[3] ; Input ; OFF ; ; i_coeff_11[2] ; Input ; OFF ; ; i_coeff_11[3] ; Input ; OFF ; ; i_coeff_10[2] ; Input ; OFF ; ; i_coeff_10[3] ; Input ; OFF ; ; i_coeff_9[2] ; Input ; OFF ; ; i_coeff_9[3] ; Input ; OFF ; ; i_coeff_8[2] ; Input ; OFF ; ; i_coeff_8[3] ; Input ; OFF ; ; i_coeff_7[2] ; Input ; OFF ; ; i_coeff_7[3] ; Input ; OFF ; ; i_coeff_6[2] ; Input ; OFF ; ; i_coeff_6[3] ; Input ; OFF ; ; i_coeff_5[2] ; Input ; OFF ; ; i_coeff_5[3] ; Input ; OFF ; ; i_coeff_4[2] ; Input ; OFF ; ; i_coeff_4[3] ; Input ; OFF ; ; i_coeff_3[2] ; Input ; OFF ; ; i_coeff_3[3] ; Input ; OFF ; ; i_coeff_2[2] ; Input ; OFF ; ; i_coeff_2[3] ; Input ; OFF ; ; i_coeff_1[2] ; Input ; OFF ; ; i_coeff_1[3] ; Input ; OFF ; ; i_coeff_0[2] ; Input ; OFF ; ; i_coeff_0[3] ; Input ; OFF ; ; i_data[1] ; Input ; OFF ; ; i_coeff_0[0] ; Input ; OFF ; ; i_coeff_0[1] ; Input ; OFF ; ; i_coeff_1[0] ; Input ; OFF ; ; i_coeff_1[1] ; Input ; OFF ; ; i_coeff_2[0] ; Input ; OFF ; ; i_coeff_2[1] ; Input ; OFF ; ; i_coeff_3[0] ; Input ; OFF ; ; i_coeff_3[1] ; Input ; OFF ; ; i_coeff_4[0] ; Input ; OFF ; ; i_coeff_4[1] ; Input ; OFF ; ; i_coeff_5[0] ; Input ; OFF ; ; i_coeff_5[1] ; Input ; OFF ; ; i_coeff_6[0] ; Input ; OFF ; ; i_coeff_6[1] ; Input ; OFF ; ; i_coeff_7[0] ; Input ; OFF ; ; i_coeff_7[1] ; Input ; OFF ; ; i_coeff_8[0] ; Input ; OFF ; ; i_coeff_8[1] ; Input ; OFF ; ; i_coeff_9[0] ; Input ; OFF ; ; i_coeff_9[1] ; Input ; OFF ; ; i_coeff_10[0] ; Input ; OFF ; ; i_coeff_10[1] ; Input ; OFF ; ; i_coeff_11[0] ; Input ; OFF ; ; i_coeff_11[1] ; Input ; OFF ; ; i_coeff_12[0] ; Input ; OFF ; ; i_coeff_12[1] ; Input ; OFF ; ; i_coeff_13[0] ; Input ; OFF ; ; i_coeff_13[1] ; Input ; OFF ; ; i_coeff_14[0] ; Input ; OFF ; ; i_coeff_14[1] ; Input ; OFF ; ; i_coeff_15[0] ; Input ; OFF ; ; i_coeff_15[1] ; Input ; OFF ; ; i_data[0] ; Input ; OFF ; ; o_data[0] ; Output ; OFF ; ; o_data[1] ; Output ; OFF ; ; o_data[2] ; Output ; OFF ; ; o_data[3] ; Output ; OFF ; ; o_data[4] ; Output ; OFF ; ; o_data[5] ; Output ; OFF ; ; o_data[6] ; Output ; OFF ; ; o_data[7] ; Output ; OFF ; ; o_data[8] ; Output ; OFF ; ; o_data[9] ; Output ; OFF ; +---------------+----------+-------------+ +--------------+ ; Pin-Out File ; +--------------+ The pin-out file can be found in C:/Users/Nomita/For_Colin/FIR-final/fir_filter_16/fir_filter_16.pin. +-----------------+ ; Fitter Messages ; +-----------------+ Info: ******************************************************************* Info: Running Quartus II Fitter Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition Info: Processing started: Wed Sep 06 15:23:00 2017 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off fir_filter_16 -c fir_filter_16 Info: Selected device EPF10K100ABC600-1 for design "fir_filter_16" Info: Fitter is using the Classic Timing Analyzer Info: Timing requirements not specified -- optimizing circuit to achieve the following default global requirements Info: Assuming a global fmax requirement of 1000 MHz Info: Not setting a global tsu requirement Info: Not setting a global tco requirement Info: Not setting a global tpd requirement Info: Inserted 0 logic cells in first fitting attempt Info: Started fitting attempt 1 on Wed Sep 06 2017 at 15:23:01 Info: Fitter placement preparation operations beginning Info: Fitter placement preparation operations ending: elapsed time is 00:00:16 Info: Fitter placement operations beginning Info: Fitter placement operations ending: elapsed time is 00:00:11 Info: Fitter routing operations beginning Info: Fitter routing operations ending: elapsed time is 00:00:01 Info: Quartus II Fitter was successful. 0 errors, 0 warnings Info: Peak virtual memory: 263 megabytes Info: Processing ended: Wed Sep 06 15:23:33 2017 Info: Elapsed time: 00:00:33 Info: Total CPU time (on all processors): 00:00:32