Text file sample: 200 0 1 0 0 0 0 0 1 0 0 1 1110 010 1010111111111110 1 1 1 0000000000000000 1 00000001 206.3 0 1 0 0 0 0 0 1 0 0 1 1110 010 1010111111111110 1 1 1 0000000000000000 1 00000001 209.8 0 1 0 0 0 0 0 1 0 0 1 1110 010 1010111111111110 1 1 1 0000000000000000 1 00000001 210.2 0 1 0 0 0 0 0 1 0 0 1 1110 010 1010111111111110 1 1 1 0000000000000000 1 00000001 210.7 0 1 0 0 0 0 0 1 0 0 1 1110 010 1010111111111110 1 1 1 0000000000000000 1 00000001 Test bench: process variable v_ILINE : line; -- variable v_OLINE : line; variable v_SPACE : character; variable v_FLASH : std_logic_vector(7 downto 0) := x"00"; variable v_AD : std_logic_vector(15 downto 0) := x"0000"; variable v_DISCR_IN : std_logic_vector(15 downto 0) := x"0000"; variable v_CM : std_logic_vector(2 downto 0) := "000"; variable v_A_i : std_logic_vector(3 downto 0) := x"0"; variable v_CLEARN : std_logic := '0'; variable v_EXTCLR : std_logic := '0'; variable v_CTEST : std_logic := '0'; -- other variables are declared here. Its a long list. variable v_sim_time : time; variable v_sim_time_d : time; begin file_open(file_VECTORS, "input_vectors.txt", read_mode); while not endfile(file_VECTORS) loop readline(file_VECTORS, v_ILINE); read(v_ILINE, v_sim_time); read(v_ILINE, v_SPACE); -- reading the space character read(v_ILINE, v_CLEARN); read(v_ILINE, v_SPACE); read(v_ILINE, v_EXTCLR); read(v_ILINE, v_SPACE); read(v_ILINE, v_CTEST); read(v_ILINE, v_SPACE); -- and so on until all the bits are read till the end of the line. wait for (v_sim_time - v_sim_time_d); v_sim_time_d := v_sim_time; end loop; file_close(file_VECTORS); wait; end process; Error Log: # add wave * # view structure # .main_pane.structure.interior.cs.body.struct # view signals # .main_pane.objects.interior.cs.body.tree # run 10 us # ** Error: (vsim-3555) Identifier "0 1 0 0 0 0 0 1 0 0 1 1110 010 1010111111111110 1 1 1 0000000000000000 1 00000001" is not a unit name for the physical type. # Time: 0 ps Iteration: 0 Instance: /proc_tb # ** Error: (vsim-3548) TEXTIO procedure READ(TIME) : Cannot get value from "è". # Time: 0 ps Iteration: 0 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 0 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 0 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 0 Instance: /proc_tb # ** Error: (vsim-3555) Identifier "0 1 0 0 0 0 0 1 0 0 1 1110 010 1010111111111110 1 1 1 0000000000000000 1 00000001" is not a unit name for the physical type. # Time: 0 ps Iteration: 1 Instance: /proc_tb # ** Error: (vsim-3548) TEXTIO procedure READ(TIME) : Cannot get value from "è". # Time: 0 ps Iteration: 1 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '.' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 1 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '3' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 1 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 1 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 1 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 1 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 1 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 1 Instance: /proc_tb # ** Error: (vsim-3555) Identifier "0 1 0 0 0 0 0 1 0 0 1 1110 010 1010111111111110 1 1 1 0000000000000000 1 00000001" is not a unit name for the physical type. # Time: 0 ps Iteration: 2 Instance: /proc_tb # ** Error: (vsim-3548) TEXTIO procedure READ(TIME) : Cannot get value from "è". # Time: 0 ps Iteration: 2 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '.' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 2 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '8' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 2 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 2 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 2 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 2 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 2 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 2 Instance: /proc_tb # ** Error: (vsim-3555) Identifier "0 1 0 0 0 0 0 1 0 0 1 1110 010 1010111111111110 1 1 1 0000000000000000 1 00000001" is not a unit name for the physical type. # Time: 0 ps Iteration: 3 Instance: /proc_tb # ** Error: (vsim-3548) TEXTIO procedure READ(TIME) : Cannot get value from "è". # Time: 0 ps Iteration: 3 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '.' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 3 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '2' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 3 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 3 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 3 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 3 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 3 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 3 Instance: /proc_tb # ** Error: (vsim-3555) Identifier "0 1 0 0 0 0 0 1 0 0 1 1110 010 1010111111111110 1 1 1 0000000000000000 1 00000001" is not a unit name for the physical type. # Time: 0 ps Iteration: 4 Instance: /proc_tb # ** Error: (vsim-3548) TEXTIO procedure READ(TIME) : Cannot get value from "è". # Time: 0 ps Iteration: 4 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '.' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 4 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '7' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 4 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 4 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 4 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 4 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 4 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 4 Instance: /proc_tb # ** Error: (vsim-3555) Identifier "0 1 0 0 0 0 0 1 0 0 1 1110 010 1010111111111110 1 1 1 0000000000000000 1 00000001" is not a unit name for the physical type. # Time: 0 ps Iteration: 5 Instance: /proc_tb # ** Error: (vsim-3548) TEXTIO procedure READ(TIME) : Cannot get value from "è". # Time: 0 ps Iteration: 5 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '.' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 5 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '9' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 5 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 5 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 5 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 5 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 5 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 5 Instance: /proc_tb # ** Error: (vsim-3555) Identifier "0 1 0 0 0 0 0 1 0 0 1 1110 010 1010111111111110 1 1 1 0000000000000000 1 00000001" is not a unit name for the physical type. # Time: 0 ps Iteration: 6 Instance: /proc_tb # ** Error: (vsim-3548) TEXTIO procedure READ(TIME) : Cannot get value from "è". # Time: 0 ps Iteration: 6 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '.' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 6 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '4' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 6 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 6 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 6 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 6 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 6 Instance: /proc_tb # ** Error: (vsim-3560) STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) Error: Character ' ' read, expected STD_ULOGIC literal. # Time: 0 ps Iteration: 6 Instance: /proc_tb