---------------- ; Command Info ; ---------------- Report Timing: Found 10 setup paths (10 violated). Worst case slack is -0.922 Tcl Command: report_timing -setup -npaths 10 -detail full_path -panel_name {Report Timing} -file {C:/Users/Aisha/Desktop/S-BOX/Cyclone II - Reg/Timing} Options: -setup -npaths 10 -detail full_path -panel_name {Report Timing} -file {C:/Users/Aisha/Desktop/S-BOX/Cyclone II - Reg/Timing} +---------------------------------------------------------------+ ; Summary of Paths ; +--------+-----------+-------------+--------------+-------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; +--------+-----------+-------------+--------------+-------------+ ; -0.922 ; b[1] ; subval8[4] ; clock_in ; clock_out ; ; -0.825 ; b[1] ; subval62[3] ; clock_in ; clock_out ; ; -0.810 ; b[0] ; subval1[5] ; clock_in ; clock_out ; ; -0.807 ; b[1] ; subval9[0] ; clock_in ; clock_out ; ; -0.801 ; b[1] ; subval8[2] ; clock_in ; clock_out ; ; -0.751 ; b[1] ; subval49[3] ; clock_in ; clock_out ; ; -0.741 ; b[0] ; subval8[4] ; clock_in ; clock_out ; ; -0.707 ; b[1] ; subval2[1] ; clock_in ; clock_out ; ; -0.706 ; b[1] ; subval6[3] ; clock_in ; clock_out ; ; -0.691 ; b[1] ; subval1[3] ; clock_in ; clock_out ; +--------+-----------+-------------+--------------+-------------+ Path #1: Setup slack is -0.922 (VIOLATED) =============================================================================== +----------------------------------------+ ; Path Summary ; +--------------------+-------------------+ ; Property ; Value ; +--------------------+-------------------+ ; From Node ; b[1] ; ; To Node ; subval8[4] ; ; Launch Clock ; clock_in ; ; Latch Clock ; clock_out ; ; Data Arrival Time ; 16.922 ; ; Data Required Time ; 16.000 ; ; Slack ; -0.922 (VIOLATED) ; +--------------------+-------------------+ +---------------------------------------------------------------------------------------+ ; Statistics ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Property ; Value ; Count ; Total Delay ; % of Total ; Min ; Max ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Setup Relationship ; 20.000 ; ; ; ; ; ; ; Clock Skew ; 0.000 ; ; ; ; ; ; ; Data Delay ; 8.922 ; ; ; ; ; ; ; Number of Logic Levels ; ; 2 ; ; ; ; ; ; Physical Delays ; ; ; ; ; ; ; ; Arrival Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; ; Data ; ; ; ; ; ; ; ; IC ; ; 3 ; 4.755 ; 53 ; 0.250 ; 2.874 ; ; Cell ; ; 4 ; 4.167 ; 46 ; 0.150 ; 2.642 ; ; Required Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; +---------------------------+--------+-------+-------------+------------+-------+-------+ Note: Negative delays are omitted from totals when calculating percentages +---------------------------------------------------------------------------------------------------------------+ ; Data Arrival Path ; +--------+-------+----+------+--------+--------------------+----------------------------------------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+-------+----+------+--------+--------------------+----------------------------------------------------+ ; 0.000 ; 0.000 ; ; ; ; ; launch edge time ; ; 0.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 8.000 ; 8.000 ; R ; iExt ; 1 ; PIN_H15 ; b[1] ; ; 8.959 ; 0.959 ; RR ; CELL ; 768 ; IOC_X47_Y51_N3 ; b[1]|combout ; ; 10.590 ; 1.631 ; RR ; IC ; 1 ; LCCOMB_X50_Y35_N4 ; inst|inst1|inst9|inst8|inst11|inst3|comb~1|datad ; ; 10.740 ; 0.150 ; RR ; CELL ; 1 ; LCCOMB_X50_Y35_N4 ; inst|inst1|inst9|inst8|inst11|inst3|comb~1|combout ; ; 10.990 ; 0.250 ; RR ; IC ; 1 ; LCCOMB_X50_Y35_N28 ; inst|inst1|inst9|inst8|inst11|inst3|comb~2|datab ; ; 11.406 ; 0.416 ; RR ; CELL ; 1 ; LCCOMB_X50_Y35_N28 ; inst|inst1|inst9|inst8|inst11|inst3|comb~2|combout ; ; 14.280 ; 2.874 ; RR ; IC ; 1 ; IOC_X95_Y11_N0 ; subval8[4]|datain ; ; 16.922 ; 2.642 ; RR ; CELL ; 0 ; PIN_AC28 ; subval8[4] ; +--------+-------+----+------+--------+--------------------+----------------------------------------------------+ +-----------------------------------------------------------------------+ ; Data Required Path ; +--------+--------+----+------+--------+----------+---------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+--------+----+------+--------+----------+---------------------+ ; 20.000 ; 20.000 ; ; ; ; ; latch edge time ; ; 20.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 16.000 ; -4.000 ; R ; oExt ; 0 ; PIN_AC28 ; subval8[4] ; +--------+--------+----+------+--------+----------+---------------------+ Path #2: Setup slack is -0.825 (VIOLATED) =============================================================================== +----------------------------------------+ ; Path Summary ; +--------------------+-------------------+ ; Property ; Value ; +--------------------+-------------------+ ; From Node ; b[1] ; ; To Node ; subval62[3] ; ; Launch Clock ; clock_in ; ; Latch Clock ; clock_out ; ; Data Arrival Time ; 16.825 ; ; Data Required Time ; 16.000 ; ; Slack ; -0.825 (VIOLATED) ; +--------------------+-------------------+ +---------------------------------------------------------------------------------------+ ; Statistics ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Property ; Value ; Count ; Total Delay ; % of Total ; Min ; Max ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Setup Relationship ; 20.000 ; ; ; ; ; ; ; Clock Skew ; 0.000 ; ; ; ; ; ; ; Data Delay ; 8.825 ; ; ; ; ; ; ; Number of Logic Levels ; ; 2 ; ; ; ; ; ; Physical Delays ; ; ; ; ; ; ; ; Arrival Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; ; Data ; ; ; ; ; ; ; ; IC ; ; 3 ; 4.508 ; 51 ; 0.468 ; 2.719 ; ; Cell ; ; 4 ; 4.317 ; 48 ; 0.150 ; 2.788 ; ; Required Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; +---------------------------+--------+-------+-------------+------------+-------+-------+ Note: Negative delays are omitted from totals when calculating percentages +----------------------------------------------------------------------------------------------------------------+ ; Data Arrival Path ; +--------+-------+----+------+--------+--------------------+-----------------------------------------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+-------+----+------+--------+--------------------+-----------------------------------------------------+ ; 0.000 ; 0.000 ; ; ; ; ; launch edge time ; ; 0.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 8.000 ; 8.000 ; R ; iExt ; 1 ; PIN_H15 ; b[1] ; ; 8.959 ; 0.959 ; RR ; CELL ; 768 ; IOC_X47_Y51_N3 ; b[1]|combout ; ; 10.280 ; 1.321 ; RR ; IC ; 1 ; LCCOMB_X50_Y38_N8 ; inst|inst4|inst17|inst8|inst10|inst3|comb~1|datab ; ; 10.700 ; 0.420 ; RR ; CELL ; 1 ; LCCOMB_X50_Y38_N8 ; inst|inst4|inst17|inst8|inst10|inst3|comb~1|combout ; ; 11.168 ; 0.468 ; RR ; IC ; 1 ; LCCOMB_X50_Y38_N20 ; inst|inst4|inst17|inst8|inst10|inst3|comb~2|datad ; ; 11.318 ; 0.150 ; RR ; CELL ; 1 ; LCCOMB_X50_Y38_N20 ; inst|inst4|inst17|inst8|inst10|inst3|comb~2|combout ; ; 14.037 ; 2.719 ; RR ; IC ; 1 ; IOC_X65_Y0_N1 ; subval62[3]|datain ; ; 16.825 ; 2.788 ; RR ; CELL ; 0 ; PIN_AH19 ; subval62[3] ; +--------+-------+----+------+--------+--------------------+-----------------------------------------------------+ +-----------------------------------------------------------------------+ ; Data Required Path ; +--------+--------+----+------+--------+----------+---------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+--------+----+------+--------+----------+---------------------+ ; 20.000 ; 20.000 ; ; ; ; ; latch edge time ; ; 20.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 16.000 ; -4.000 ; R ; oExt ; 0 ; PIN_AH19 ; subval62[3] ; +--------+--------+----+------+--------+----------+---------------------+ Path #3: Setup slack is -0.810 (VIOLATED) =============================================================================== +----------------------------------------+ ; Path Summary ; +--------------------+-------------------+ ; Property ; Value ; +--------------------+-------------------+ ; From Node ; b[0] ; ; To Node ; subval1[5] ; ; Launch Clock ; clock_in ; ; Latch Clock ; clock_out ; ; Data Arrival Time ; 16.810 ; ; Data Required Time ; 16.000 ; ; Slack ; -0.810 (VIOLATED) ; +--------------------+-------------------+ +---------------------------------------------------------------------------------------+ ; Statistics ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Property ; Value ; Count ; Total Delay ; % of Total ; Min ; Max ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Setup Relationship ; 20.000 ; ; ; ; ; ; ; Clock Skew ; 0.000 ; ; ; ; ; ; ; Data Delay ; 8.810 ; ; ; ; ; ; ; Number of Logic Levels ; ; 2 ; ; ; ; ; ; Physical Delays ; ; ; ; ; ; ; ; Arrival Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; ; Data ; ; ; ; ; ; ; ; IC ; ; 3 ; 4.510 ; 51 ; 0.248 ; 2.789 ; ; Cell ; ; 4 ; 4.300 ; 48 ; 0.150 ; 2.798 ; ; Required Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; +---------------------------+--------+-------+-------------+------------+-------+-------+ Note: Negative delays are omitted from totals when calculating percentages +----------------------------------------------------------------------------------------------------------------+ ; Data Arrival Path ; +--------+-------+----+------+--------+--------------------+-----------------------------------------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+-------+----+------+--------+--------------------+-----------------------------------------------------+ ; 0.000 ; 0.000 ; ; ; ; ; launch edge time ; ; 0.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 8.000 ; 8.000 ; R ; iExt ; 1 ; PIN_G15 ; b[0] ; ; 8.959 ; 0.959 ; RR ; CELL ; 768 ; IOC_X47_Y51_N2 ; b[0]|combout ; ; 10.432 ; 1.473 ; RR ; IC ; 1 ; LCCOMB_X44_Y32_N12 ; inst|inst1|inst12|inst8|inst12|inst3|comb~1|datab ; ; 10.825 ; 0.393 ; RR ; CELL ; 1 ; LCCOMB_X44_Y32_N12 ; inst|inst1|inst12|inst8|inst12|inst3|comb~1|combout ; ; 11.073 ; 0.248 ; RR ; IC ; 1 ; LCCOMB_X44_Y32_N0 ; inst|inst1|inst12|inst8|inst12|inst3|comb~2|datad ; ; 11.223 ; 0.150 ; RR ; CELL ; 1 ; LCCOMB_X44_Y32_N0 ; inst|inst1|inst12|inst8|inst12|inst3|comb~2|combout ; ; 14.012 ; 2.789 ; RR ; IC ; 1 ; IOC_X11_Y0_N1 ; subval1[5]|datain ; ; 16.810 ; 2.798 ; RR ; CELL ; 0 ; PIN_AH7 ; subval1[5] ; +--------+-------+----+------+--------+--------------------+-----------------------------------------------------+ +-----------------------------------------------------------------------+ ; Data Required Path ; +--------+--------+----+------+--------+----------+---------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+--------+----+------+--------+----------+---------------------+ ; 20.000 ; 20.000 ; ; ; ; ; latch edge time ; ; 20.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 16.000 ; -4.000 ; R ; oExt ; 0 ; PIN_AH7 ; subval1[5] ; +--------+--------+----+------+--------+----------+---------------------+ Path #4: Setup slack is -0.807 (VIOLATED) =============================================================================== +----------------------------------------+ ; Path Summary ; +--------------------+-------------------+ ; Property ; Value ; +--------------------+-------------------+ ; From Node ; b[1] ; ; To Node ; subval9[0] ; ; Launch Clock ; clock_in ; ; Latch Clock ; clock_out ; ; Data Arrival Time ; 16.807 ; ; Data Required Time ; 16.000 ; ; Slack ; -0.807 (VIOLATED) ; +--------------------+-------------------+ +---------------------------------------------------------------------------------------+ ; Statistics ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Property ; Value ; Count ; Total Delay ; % of Total ; Min ; Max ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Setup Relationship ; 20.000 ; ; ; ; ; ; ; Clock Skew ; 0.000 ; ; ; ; ; ; ; Data Delay ; 8.807 ; ; ; ; ; ; ; Number of Logic Levels ; ; 2 ; ; ; ; ; ; Physical Delays ; ; ; ; ; ; ; ; Arrival Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; ; Data ; ; ; ; ; ; ; ; IC ; ; 3 ; 4.239 ; 48 ; 0.261 ; 2.380 ; ; Cell ; ; 4 ; 4.568 ; 51 ; 0.413 ; 2.758 ; ; Required Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; +---------------------------+--------+-------+-------------+------------+-------+-------+ Note: Negative delays are omitted from totals when calculating percentages +---------------------------------------------------------------------------------------------------------------+ ; Data Arrival Path ; +--------+-------+----+------+--------+--------------------+----------------------------------------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+-------+----+------+--------+--------------------+----------------------------------------------------+ ; 0.000 ; 0.000 ; ; ; ; ; launch edge time ; ; 0.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 8.000 ; 8.000 ; R ; iExt ; 1 ; PIN_H15 ; b[1] ; ; 8.959 ; 0.959 ; RR ; CELL ; 768 ; IOC_X47_Y51_N3 ; b[1]|combout ; ; 10.557 ; 1.598 ; RR ; IC ; 1 ; LCCOMB_X49_Y32_N20 ; inst|inst1|inst11|inst8|inst7|inst3|comb~1|dataa ; ; 10.970 ; 0.413 ; RR ; CELL ; 1 ; LCCOMB_X49_Y32_N20 ; inst|inst1|inst11|inst8|inst7|inst3|comb~1|combout ; ; 11.231 ; 0.261 ; RR ; IC ; 1 ; LCCOMB_X49_Y32_N4 ; inst|inst1|inst11|inst8|inst7|inst3|comb~2|dataa ; ; 11.669 ; 0.438 ; RR ; CELL ; 1 ; LCCOMB_X49_Y32_N4 ; inst|inst1|inst11|inst8|inst7|inst3|comb~2|combout ; ; 14.049 ; 2.380 ; RR ; IC ; 1 ; IOC_X53_Y0_N2 ; subval9[0]|datain ; ; 16.807 ; 2.758 ; RR ; CELL ; 0 ; PIN_AD16 ; subval9[0] ; +--------+-------+----+------+--------+--------------------+----------------------------------------------------+ +-----------------------------------------------------------------------+ ; Data Required Path ; +--------+--------+----+------+--------+----------+---------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+--------+----+------+--------+----------+---------------------+ ; 20.000 ; 20.000 ; ; ; ; ; latch edge time ; ; 20.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 16.000 ; -4.000 ; R ; oExt ; 0 ; PIN_AD16 ; subval9[0] ; +--------+--------+----+------+--------+----------+---------------------+ Path #5: Setup slack is -0.801 (VIOLATED) =============================================================================== +----------------------------------------+ ; Path Summary ; +--------------------+-------------------+ ; Property ; Value ; +--------------------+-------------------+ ; From Node ; b[1] ; ; To Node ; subval8[2] ; ; Launch Clock ; clock_in ; ; Latch Clock ; clock_out ; ; Data Arrival Time ; 16.801 ; ; Data Required Time ; 16.000 ; ; Slack ; -0.801 (VIOLATED) ; +--------------------+-------------------+ +---------------------------------------------------------------------------------------+ ; Statistics ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Property ; Value ; Count ; Total Delay ; % of Total ; Min ; Max ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Setup Relationship ; 20.000 ; ; ; ; ; ; ; Clock Skew ; 0.000 ; ; ; ; ; ; ; Data Delay ; 8.801 ; ; ; ; ; ; ; Number of Logic Levels ; ; 2 ; ; ; ; ; ; Physical Delays ; ; ; ; ; ; ; ; Arrival Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; ; Data ; ; ; ; ; ; ; ; IC ; ; 3 ; 4.734 ; 53 ; 0.433 ; 2.672 ; ; Cell ; ; 4 ; 4.067 ; 46 ; 0.150 ; 2.808 ; ; Required Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; +---------------------------+--------+-------+-------------+------------+-------+-------+ Note: Negative delays are omitted from totals when calculating percentages +--------------------------------------------------------------------------------------------------------------+ ; Data Arrival Path ; +--------+-------+----+------+--------+--------------------+---------------------------------------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+-------+----+------+--------+--------------------+---------------------------------------------------+ ; 0.000 ; 0.000 ; ; ; ; ; launch edge time ; ; 0.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 8.000 ; 8.000 ; R ; iExt ; 1 ; PIN_H15 ; b[1] ; ; 8.959 ; 0.959 ; RR ; CELL ; 768 ; IOC_X47_Y51_N3 ; b[1]|combout ; ; 10.588 ; 1.629 ; RR ; IC ; 1 ; LCCOMB_X50_Y35_N24 ; inst|inst1|inst9|inst8|inst9|inst3|comb~1|datad ; ; 10.738 ; 0.150 ; RR ; CELL ; 1 ; LCCOMB_X50_Y35_N24 ; inst|inst1|inst9|inst8|inst9|inst3|comb~1|combout ; ; 11.171 ; 0.433 ; RR ; IC ; 1 ; LCCOMB_X50_Y35_N0 ; inst|inst1|inst9|inst8|inst9|inst3|comb~2|datad ; ; 11.321 ; 0.150 ; RR ; CELL ; 1 ; LCCOMB_X50_Y35_N0 ; inst|inst1|inst9|inst8|inst9|inst3|comb~2|combout ; ; 13.993 ; 2.672 ; RR ; IC ; 1 ; IOC_X78_Y0_N3 ; subval8[2]|datain ; ; 16.801 ; 2.808 ; RR ; CELL ; 0 ; PIN_AJ24 ; subval8[2] ; +--------+-------+----+------+--------+--------------------+---------------------------------------------------+ +-----------------------------------------------------------------------+ ; Data Required Path ; +--------+--------+----+------+--------+----------+---------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+--------+----+------+--------+----------+---------------------+ ; 20.000 ; 20.000 ; ; ; ; ; latch edge time ; ; 20.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 16.000 ; -4.000 ; R ; oExt ; 0 ; PIN_AJ24 ; subval8[2] ; +--------+--------+----+------+--------+----------+---------------------+ Path #6: Setup slack is -0.751 (VIOLATED) =============================================================================== +----------------------------------------+ ; Path Summary ; +--------------------+-------------------+ ; Property ; Value ; +--------------------+-------------------+ ; From Node ; b[1] ; ; To Node ; subval49[3] ; ; Launch Clock ; clock_in ; ; Latch Clock ; clock_out ; ; Data Arrival Time ; 16.751 ; ; Data Required Time ; 16.000 ; ; Slack ; -0.751 (VIOLATED) ; +--------------------+-------------------+ +---------------------------------------------------------------------------------------+ ; Statistics ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Property ; Value ; Count ; Total Delay ; % of Total ; Min ; Max ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Setup Relationship ; 20.000 ; ; ; ; ; ; ; Clock Skew ; 0.000 ; ; ; ; ; ; ; Data Delay ; 8.751 ; ; ; ; ; ; ; Number of Logic Levels ; ; 2 ; ; ; ; ; ; Physical Delays ; ; ; ; ; ; ; ; Arrival Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; ; Data ; ; ; ; ; ; ; ; IC ; ; 3 ; 4.415 ; 50 ; 0.243 ; 2.749 ; ; Cell ; ; 4 ; 4.336 ; 49 ; 0.150 ; 2.808 ; ; Required Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; +---------------------------+--------+-------+-------------+------------+-------+-------+ Note: Negative delays are omitted from totals when calculating percentages +----------------------------------------------------------------------------------------------------------------+ ; Data Arrival Path ; +--------+-------+----+------+--------+--------------------+-----------------------------------------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+-------+----+------+--------+--------------------+-----------------------------------------------------+ ; 0.000 ; 0.000 ; ; ; ; ; launch edge time ; ; 0.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 8.000 ; 8.000 ; R ; iExt ; 1 ; PIN_H15 ; b[1] ; ; 8.959 ; 0.959 ; RR ; CELL ; 768 ; IOC_X47_Y51_N3 ; b[1]|combout ; ; 10.382 ; 1.423 ; RR ; IC ; 1 ; LCCOMB_X43_Y40_N30 ; inst|inst4|inst12|inst8|inst10|inst3|comb~1|datab ; ; 10.801 ; 0.419 ; RR ; CELL ; 1 ; LCCOMB_X43_Y40_N30 ; inst|inst4|inst12|inst8|inst10|inst3|comb~1|combout ; ; 11.044 ; 0.243 ; RR ; IC ; 1 ; LCCOMB_X43_Y40_N12 ; inst|inst4|inst12|inst8|inst10|inst3|comb~2|datad ; ; 11.194 ; 0.150 ; RR ; CELL ; 1 ; LCCOMB_X43_Y40_N12 ; inst|inst4|inst12|inst8|inst10|inst3|comb~2|combout ; ; 13.943 ; 2.749 ; RR ; IC ; 1 ; IOC_X18_Y0_N2 ; subval49[3]|datain ; ; 16.751 ; 2.808 ; RR ; CELL ; 0 ; PIN_AK7 ; subval49[3] ; +--------+-------+----+------+--------+--------------------+-----------------------------------------------------+ +-----------------------------------------------------------------------+ ; Data Required Path ; +--------+--------+----+------+--------+----------+---------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+--------+----+------+--------+----------+---------------------+ ; 20.000 ; 20.000 ; ; ; ; ; latch edge time ; ; 20.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 16.000 ; -4.000 ; R ; oExt ; 0 ; PIN_AK7 ; subval49[3] ; +--------+--------+----+------+--------+----------+---------------------+ Path #7: Setup slack is -0.741 (VIOLATED) =============================================================================== +----------------------------------------+ ; Path Summary ; +--------------------+-------------------+ ; Property ; Value ; +--------------------+-------------------+ ; From Node ; b[0] ; ; To Node ; subval8[4] ; ; Launch Clock ; clock_in ; ; Latch Clock ; clock_out ; ; Data Arrival Time ; 16.741 ; ; Data Required Time ; 16.000 ; ; Slack ; -0.741 (VIOLATED) ; +--------------------+-------------------+ +---------------------------------------------------------------------------------------+ ; Statistics ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Property ; Value ; Count ; Total Delay ; % of Total ; Min ; Max ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Setup Relationship ; 20.000 ; ; ; ; ; ; ; Clock Skew ; 0.000 ; ; ; ; ; ; ; Data Delay ; 8.741 ; ; ; ; ; ; ; Number of Logic Levels ; ; 2 ; ; ; ; ; ; Physical Delays ; ; ; ; ; ; ; ; Arrival Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; ; Data ; ; ; ; ; ; ; ; IC ; ; 3 ; 4.304 ; 49 ; 0.250 ; 2.874 ; ; Cell ; ; 4 ; 4.437 ; 50 ; 0.416 ; 2.642 ; ; Required Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; +---------------------------+--------+-------+-------------+------------+-------+-------+ Note: Negative delays are omitted from totals when calculating percentages +---------------------------------------------------------------------------------------------------------------+ ; Data Arrival Path ; +--------+-------+----+------+--------+--------------------+----------------------------------------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+-------+----+------+--------+--------------------+----------------------------------------------------+ ; 0.000 ; 0.000 ; ; ; ; ; launch edge time ; ; 0.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 8.000 ; 8.000 ; R ; iExt ; 1 ; PIN_G15 ; b[0] ; ; 8.959 ; 0.959 ; RR ; CELL ; 768 ; IOC_X47_Y51_N2 ; b[0]|combout ; ; 10.139 ; 1.180 ; RR ; IC ; 1 ; LCCOMB_X50_Y35_N4 ; inst|inst1|inst9|inst8|inst11|inst3|comb~1|datab ; ; 10.559 ; 0.420 ; RR ; CELL ; 1 ; LCCOMB_X50_Y35_N4 ; inst|inst1|inst9|inst8|inst11|inst3|comb~1|combout ; ; 10.809 ; 0.250 ; RR ; IC ; 1 ; LCCOMB_X50_Y35_N28 ; inst|inst1|inst9|inst8|inst11|inst3|comb~2|datab ; ; 11.225 ; 0.416 ; RR ; CELL ; 1 ; LCCOMB_X50_Y35_N28 ; inst|inst1|inst9|inst8|inst11|inst3|comb~2|combout ; ; 14.099 ; 2.874 ; RR ; IC ; 1 ; IOC_X95_Y11_N0 ; subval8[4]|datain ; ; 16.741 ; 2.642 ; RR ; CELL ; 0 ; PIN_AC28 ; subval8[4] ; +--------+-------+----+------+--------+--------------------+----------------------------------------------------+ +-----------------------------------------------------------------------+ ; Data Required Path ; +--------+--------+----+------+--------+----------+---------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+--------+----+------+--------+----------+---------------------+ ; 20.000 ; 20.000 ; ; ; ; ; latch edge time ; ; 20.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 16.000 ; -4.000 ; R ; oExt ; 0 ; PIN_AC28 ; subval8[4] ; +--------+--------+----+------+--------+----------+---------------------+ Path #8: Setup slack is -0.707 (VIOLATED) =============================================================================== +----------------------------------------+ ; Path Summary ; +--------------------+-------------------+ ; Property ; Value ; +--------------------+-------------------+ ; From Node ; b[1] ; ; To Node ; subval2[1] ; ; Launch Clock ; clock_in ; ; Latch Clock ; clock_out ; ; Data Arrival Time ; 16.707 ; ; Data Required Time ; 16.000 ; ; Slack ; -0.707 (VIOLATED) ; +--------------------+-------------------+ +---------------------------------------------------------------------------------------+ ; Statistics ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Property ; Value ; Count ; Total Delay ; % of Total ; Min ; Max ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Setup Relationship ; 20.000 ; ; ; ; ; ; ; Clock Skew ; 0.000 ; ; ; ; ; ; ; Data Delay ; 8.707 ; ; ; ; ; ; ; Number of Logic Levels ; ; 2 ; ; ; ; ; ; Physical Delays ; ; ; ; ; ; ; ; Arrival Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; ; Data ; ; ; ; ; ; ; ; IC ; ; 3 ; 4.370 ; 50 ; 0.248 ; 2.504 ; ; Cell ; ; 4 ; 4.337 ; 49 ; 0.150 ; 2.808 ; ; Required Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; +---------------------------+--------+-------+-------------+------------+-------+-------+ Note: Negative delays are omitted from totals when calculating percentages +--------------------------------------------------------------------------------------------------------------+ ; Data Arrival Path ; +--------+-------+----+------+--------+--------------------+---------------------------------------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+-------+----+------+--------+--------------------+---------------------------------------------------+ ; 0.000 ; 0.000 ; ; ; ; ; launch edge time ; ; 0.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 8.000 ; 8.000 ; R ; iExt ; 1 ; PIN_H15 ; b[1] ; ; 8.959 ; 0.959 ; RR ; CELL ; 768 ; IOC_X47_Y51_N3 ; b[1]|combout ; ; 10.577 ; 1.618 ; RR ; IC ; 1 ; LCCOMB_X50_Y34_N28 ; inst|inst1|inst3|inst8|inst8|inst3|comb~1|datab ; ; 10.997 ; 0.420 ; RR ; CELL ; 1 ; LCCOMB_X50_Y34_N28 ; inst|inst1|inst3|inst8|inst8|inst3|comb~1|combout ; ; 11.245 ; 0.248 ; RR ; IC ; 1 ; LCCOMB_X50_Y34_N20 ; inst|inst1|inst3|inst8|inst8|inst3|comb~2|datad ; ; 11.395 ; 0.150 ; RR ; CELL ; 1 ; LCCOMB_X50_Y34_N20 ; inst|inst1|inst3|inst8|inst8|inst3|comb~2|combout ; ; 13.899 ; 2.504 ; RR ; IC ; 1 ; IOC_X62_Y0_N2 ; subval2[1]|datain ; ; 16.707 ; 2.808 ; RR ; CELL ; 0 ; PIN_AK19 ; subval2[1] ; +--------+-------+----+------+--------+--------------------+---------------------------------------------------+ +-----------------------------------------------------------------------+ ; Data Required Path ; +--------+--------+----+------+--------+----------+---------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+--------+----+------+--------+----------+---------------------+ ; 20.000 ; 20.000 ; ; ; ; ; latch edge time ; ; 20.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 16.000 ; -4.000 ; R ; oExt ; 0 ; PIN_AK19 ; subval2[1] ; +--------+--------+----+------+--------+----------+---------------------+ Path #9: Setup slack is -0.706 (VIOLATED) =============================================================================== +----------------------------------------+ ; Path Summary ; +--------------------+-------------------+ ; Property ; Value ; +--------------------+-------------------+ ; From Node ; b[1] ; ; To Node ; subval6[3] ; ; Launch Clock ; clock_in ; ; Latch Clock ; clock_out ; ; Data Arrival Time ; 16.706 ; ; Data Required Time ; 16.000 ; ; Slack ; -0.706 (VIOLATED) ; +--------------------+-------------------+ +---------------------------------------------------------------------------------------+ ; Statistics ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Property ; Value ; Count ; Total Delay ; % of Total ; Min ; Max ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Setup Relationship ; 20.000 ; ; ; ; ; ; ; Clock Skew ; 0.000 ; ; ; ; ; ; ; Data Delay ; 8.706 ; ; ; ; ; ; ; Number of Logic Levels ; ; 2 ; ; ; ; ; ; Physical Delays ; ; ; ; ; ; ; ; Arrival Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; ; Data ; ; ; ; ; ; ; ; IC ; ; 3 ; 4.409 ; 50 ; 0.247 ; 2.565 ; ; Cell ; ; 4 ; 4.297 ; 49 ; 0.150 ; 2.768 ; ; Required Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; +---------------------------+--------+-------+-------------+------------+-------+-------+ Note: Negative delays are omitted from totals when calculating percentages +---------------------------------------------------------------------------------------------------------------+ ; Data Arrival Path ; +--------+-------+----+------+--------+--------------------+----------------------------------------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+-------+----+------+--------+--------------------+----------------------------------------------------+ ; 0.000 ; 0.000 ; ; ; ; ; launch edge time ; ; 0.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 8.000 ; 8.000 ; R ; iExt ; 1 ; PIN_H15 ; b[1] ; ; 8.959 ; 0.959 ; RR ; CELL ; 768 ; IOC_X47_Y51_N3 ; b[1]|combout ; ; 10.556 ; 1.597 ; RR ; IC ; 1 ; LCCOMB_X45_Y32_N28 ; inst|inst1|inst7|inst8|inst10|inst3|comb~1|datab ; ; 10.976 ; 0.420 ; RR ; CELL ; 1 ; LCCOMB_X45_Y32_N28 ; inst|inst1|inst7|inst8|inst10|inst3|comb~1|combout ; ; 11.223 ; 0.247 ; RR ; IC ; 1 ; LCCOMB_X45_Y32_N24 ; inst|inst1|inst7|inst8|inst10|inst3|comb~2|datad ; ; 11.373 ; 0.150 ; RR ; CELL ; 1 ; LCCOMB_X45_Y32_N24 ; inst|inst1|inst7|inst8|inst10|inst3|comb~2|combout ; ; 13.938 ; 2.565 ; RR ; IC ; 1 ; IOC_X15_Y0_N0 ; subval6[3]|datain ; ; 16.706 ; 2.768 ; RR ; CELL ; 0 ; PIN_AD10 ; subval6[3] ; +--------+-------+----+------+--------+--------------------+----------------------------------------------------+ +-----------------------------------------------------------------------+ ; Data Required Path ; +--------+--------+----+------+--------+----------+---------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+--------+----+------+--------+----------+---------------------+ ; 20.000 ; 20.000 ; ; ; ; ; latch edge time ; ; 20.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 16.000 ; -4.000 ; R ; oExt ; 0 ; PIN_AD10 ; subval6[3] ; +--------+--------+----+------+--------+----------+---------------------+ Path #10: Setup slack is -0.691 (VIOLATED) =============================================================================== +----------------------------------------+ ; Path Summary ; +--------------------+-------------------+ ; Property ; Value ; +--------------------+-------------------+ ; From Node ; b[1] ; ; To Node ; subval1[3] ; ; Launch Clock ; clock_in ; ; Latch Clock ; clock_out ; ; Data Arrival Time ; 16.691 ; ; Data Required Time ; 16.000 ; ; Slack ; -0.691 (VIOLATED) ; +--------------------+-------------------+ +---------------------------------------------------------------------------------------+ ; Statistics ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Property ; Value ; Count ; Total Delay ; % of Total ; Min ; Max ; +---------------------------+--------+-------+-------------+------------+-------+-------+ ; Setup Relationship ; 20.000 ; ; ; ; ; ; ; Clock Skew ; 0.000 ; ; ; ; ; ; ; Data Delay ; 8.691 ; ; ; ; ; ; ; Number of Logic Levels ; ; 2 ; ; ; ; ; ; Physical Delays ; ; ; ; ; ; ; ; Arrival Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; ; Data ; ; ; ; ; ; ; ; IC ; ; 3 ; 4.344 ; 49 ; 0.244 ; 2.680 ; ; Cell ; ; 4 ; 4.347 ; 50 ; 0.150 ; 2.818 ; ; Required Path ; ; ; ; ; ; ; ; Clock ; ; ; ; ; ; ; ; Clock Network (Lumped) ; ; 1 ; 0.000 ; ; 0.000 ; 0.000 ; +---------------------------+--------+-------+-------------+------------+-------+-------+ Note: Negative delays are omitted from totals when calculating percentages +----------------------------------------------------------------------------------------------------------------+ ; Data Arrival Path ; +--------+-------+----+------+--------+--------------------+-----------------------------------------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+-------+----+------+--------+--------------------+-----------------------------------------------------+ ; 0.000 ; 0.000 ; ; ; ; ; launch edge time ; ; 0.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 8.000 ; 8.000 ; R ; iExt ; 1 ; PIN_H15 ; b[1] ; ; 8.959 ; 0.959 ; RR ; CELL ; 768 ; IOC_X47_Y51_N3 ; b[1]|combout ; ; 10.379 ; 1.420 ; RR ; IC ; 1 ; LCCOMB_X43_Y35_N30 ; inst|inst1|inst12|inst8|inst10|inst3|comb~1|datab ; ; 10.799 ; 0.420 ; RR ; CELL ; 1 ; LCCOMB_X43_Y35_N30 ; inst|inst1|inst12|inst8|inst10|inst3|comb~1|combout ; ; 11.043 ; 0.244 ; RR ; IC ; 1 ; LCCOMB_X43_Y35_N24 ; inst|inst1|inst12|inst8|inst10|inst3|comb~2|datad ; ; 11.193 ; 0.150 ; RR ; CELL ; 1 ; LCCOMB_X43_Y35_N24 ; inst|inst1|inst12|inst8|inst10|inst3|comb~2|combout ; ; 13.873 ; 2.680 ; RR ; IC ; 1 ; IOC_X13_Y0_N1 ; subval1[3]|datain ; ; 16.691 ; 2.818 ; RR ; CELL ; 0 ; PIN_AK6 ; subval1[3] ; +--------+-------+----+------+--------+--------------------+-----------------------------------------------------+ +-----------------------------------------------------------------------+ ; Data Required Path ; +--------+--------+----+------+--------+----------+---------------------+ ; Total ; Incr ; RF ; Type ; Fanout ; Location ; Element ; +--------+--------+----+------+--------+----------+---------------------+ ; 20.000 ; 20.000 ; ; ; ; ; latch edge time ; ; 20.000 ; 0.000 ; R ; ; ; ; clock network delay ; ; 16.000 ; -4.000 ; R ; oExt ; 0 ; PIN_AK6 ; subval1[3] ; +--------+--------+----+------+--------+----------+---------------------+