source D:/LHCb/FPGAPrjs/UtDataProcV01/sims/mentor/simScript.do # [exec] file_copy # List Of Command Line Aliases # # file_copy -- Copy ROM/RAM files to simulation directory # # dev_com -- Compile device library files # # com -- Compile the design files in correct order # # elab -- Elaborate top level design # # elab_debug -- Elaborate the top level design with novopt option # # ld -- Compile all the design files and elaborate the top level design # # ld_debug -- Compile all the design files and elaborate the top level design with -novopt # # # # List Of Variables # # TOP_LEVEL_NAME -- Top level module name. # For most designs, this should be overridden # to enable the elab/elab_debug aliases. # # SYSTEM_INSTANCE_NAME -- Instantiated system module name inside top level module. # # QSYS_SIMDIR -- Qsys base simulation directory. # # QUARTUS_INSTALL_DIR -- Quartus installation directory. # # USER_DEFINED_COMPILE_OPTIONS -- User-defined compile options, added to com/dev_com aliases. # # USER_DEFINED_VHDL_COMPILE_OPTIONS -- User-defined vhdl compile options, added to com/dev_com aliases. # # USER_DEFINED_VERILOG_COMPILE_OPTIONS -- User-defined verilog compile options, added to com/dev_com aliases. # # USER_DEFINED_ELAB_OPTIONS -- User-defined elaboration options, added to elab/elab_debug aliases. # # SILENCE -- Set to true to suppress all informational and/or warning messages in the generated simulation script. # # FORCE_MODELSIM_AE_SELECTION -- Set to true to force to select Modelsim AE always. # [exec] dev_com # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:25 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/altera_syn_attributes.vhd -work altera # -- Loading package STANDARD # -- Compiling package altera_syn_attributes # End time: 16:23:25 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:26 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/altera_standard_functions.vhd -work altera # -- Loading package STANDARD # -- Compiling package altera_standard_functions # -- Compiling package body altera_standard_functions # -- Loading package altera_standard_functions # End time: 16:23:26 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:26 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/alt_dspbuilder_package.vhd -work altera # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Compiling package alt_dspbuilder_package # -- Compiling package body alt_dspbuilder_package # -- Loading package alt_dspbuilder_package # End time: 16:23:26 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:26 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/altera_europa_support_lib.vhd -work altera # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package NUMERIC_STD # -- Loading package std_logic_arith # -- Loading package STD_LOGIC_UNSIGNED # -- Compiling package altera_europa_support_lib # -- Compiling package body altera_europa_support_lib # -- Loading package altera_europa_support_lib # End time: 16:23:26 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:26 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/altera_primitives_components.vhd -work altera # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package VITAL_Timing # -- Loading package VITAL_Primitives # -- Compiling package dffeas_pack # -- Loading package dffeas_pack # -- Compiling package altera_primitives_components # End time: 16:23:27 on Aug 22,2018, Elapsed time: 0:00:01 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:27 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/altera_primitives.vhd -work altera # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Compiling entity GLOBAL # -- Compiling architecture BEHAVIOR of GLOBAL # -- Compiling entity CARRY # -- Compiling architecture BEHAVIOR of CARRY # -- Compiling entity CASCADE # -- Compiling architecture BEHAVIOR of CASCADE # -- Compiling entity CARRY_SUM # -- Compiling architecture BEHAVIOR of CARRY_SUM # -- Compiling entity EXP # -- Compiling architecture BEHAVIOR of EXP # -- Compiling entity SOFT # -- Compiling architecture BEHAVIOR of SOFT # -- Compiling entity OPNDRN # -- Compiling architecture BEHAVIOR of OPNDRN # -- Compiling entity ROW_GLOBAL # -- Compiling architecture BEHAVIOR of ROW_GLOBAL # -- Compiling entity TRI # -- Compiling architecture BEHAVIOR of TRI # -- Compiling entity LUT_INPUT # -- Compiling architecture BEHAVIOR of LUT_INPUT # -- Compiling entity LUT_OUTPUT # -- Compiling architecture BEHAVIOR of LUT_OUTPUT # -- Compiling entity latch # -- Compiling architecture BEHAVIOR of latch # -- Compiling entity dlatch # -- Compiling architecture BEHAVIOR of dlatch # -- Compiling entity PRIM_GDFF # -- Compiling architecture BEHAVIOR of PRIM_GDFF # -- Loading entity PRIM_GDFF # -- Compiling entity DFF # -- Compiling architecture BEHAVIOR of DFF # -- Compiling entity DFFE # -- Compiling architecture BEHAVIOR of DFFE # -- Compiling entity DFFEA # -- Compiling architecture BEHAVIOR of DFFEA # -- Loading package VITAL_Timing # -- Loading package VITAL_Primitives # -- Loading package dffeas_pack # -- Compiling entity DFFEAS # -- Compiling architecture vital_dffeas of dffeas # -- Compiling entity PRIM_GTFF # -- Compiling architecture BEHAVIOR of PRIM_GTFF # -- Loading entity PRIM_GTFF # -- Compiling entity TFF # -- Compiling architecture BEHAVIOR of TFF # -- Compiling entity TFFE # -- Compiling architecture BEHAVIOR of TFFE # -- Compiling entity PRIM_GJKFF # -- Compiling architecture BEHAVIOR of PRIM_GJKFF # -- Loading entity PRIM_GJKFF # -- Compiling entity JKFF # -- Compiling architecture BEHAVIOR of JKFF # -- Compiling entity JKFFE # -- Compiling architecture BEHAVIOR of JKFFE # -- Compiling entity PRIM_GSRFF # -- Compiling architecture BEHAVIOR of PRIM_GSRFF # -- Loading entity PRIM_GSRFF # -- Compiling entity SRFF # -- Compiling architecture BEHAVIOR of SRFF # -- Compiling entity SRFFE # -- Compiling architecture BEHAVIOR of SRFFE # -- Compiling entity clklock # -- Compiling architecture behavior of clklock # -- Compiling entity alt_inbuf # -- Compiling architecture BEHAVIOR of alt_inbuf # -- Compiling entity alt_outbuf # -- Compiling architecture BEHAVIOR of alt_outbuf # -- Compiling entity alt_outbuf_tri # -- Compiling architecture BEHAVIOR of alt_outbuf_tri # -- Compiling entity alt_iobuf # -- Compiling architecture BEHAVIOR of alt_iobuf # -- Compiling entity alt_inbuf_diff # -- Compiling architecture BEHAVIOR of alt_inbuf_diff # -- Compiling entity alt_outbuf_diff # -- Compiling architecture BEHAVIOR of alt_outbuf_diff # -- Compiling entity alt_outbuf_tri_diff # -- Compiling architecture BEHAVIOR of alt_outbuf_tri_diff # -- Compiling entity alt_iobuf_diff # -- Compiling architecture BEHAVIOR of alt_iobuf_diff # -- Compiling entity alt_bidir_diff # -- Compiling architecture BEHAVIOR of alt_bidir_diff # -- Compiling entity alt_bidir_buf # -- Compiling architecture BEHAVIOR of alt_bidir_buf # End time: 16:23:27 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:28 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/220pack.vhd -work lpm # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Compiling package LPM_COMPONENTS # End time: 16:23:28 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:28 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/220model.vhd -work lpm # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Compiling package LPM_COMMON_CONVERSION # -- Compiling package body LPM_COMMON_CONVERSION # -- Loading package LPM_COMMON_CONVERSION # -- Compiling package LPM_HINT_EVALUATION # -- Compiling package body LPM_HINT_EVALUATION # -- Loading package LPM_HINT_EVALUATION # -- Compiling package LPM_DEVICE_FAMILIES # -- Compiling package body LPM_DEVICE_FAMILIES # -- Loading package LPM_DEVICE_FAMILIES # -- Loading package std_logic_arith # -- Loading package STD_LOGIC_UNSIGNED # -- Loading package LPM_COMPONENTS # -- Compiling entity LPM_CONSTANT # -- Compiling architecture LPM_SYN of LPM_CONSTANT # -- Compiling entity LPM_INV # -- Compiling architecture LPM_SYN of LPM_INV # -- Compiling entity lpm_and # -- Compiling architecture LPM_SYN of lpm_and # -- Compiling entity LPM_OR # -- Compiling architecture LPM_SYN of LPM_OR # -- Compiling entity LPM_XOR # -- Compiling architecture LPM_SYN of LPM_XOR # -- Compiling entity LPM_BUSTRI # -- Compiling architecture LPM_SYN of LPM_BUSTRI # -- Compiling entity LPM_MUX # -- Compiling architecture LPM_SYN of LPM_MUX # -- Compiling entity LPM_DECODE # -- Compiling architecture LPM_SYN of LPM_DECODE # -- Compiling entity LPM_CLSHIFT # -- Compiling architecture LPM_SYN of LPM_CLSHIFT # -- Loading package STD_LOGIC_SIGNED # -- Compiling entity LPM_ADD_SUB_SIGNED # -- Compiling architecture LPM_SYN of LPM_ADD_SUB_SIGNED # -- Compiling entity LPM_ADD_SUB_UNSIGNED # -- Compiling architecture LPM_SYN of LPM_ADD_SUB_UNSIGNED # -- Loading entity LPM_ADD_SUB_SIGNED # -- Loading entity LPM_ADD_SUB_UNSIGNED # -- Compiling entity LPM_ADD_SUB # -- Compiling architecture LPM_SYN of LPM_ADD_SUB # -- Compiling entity LPM_COMPARE_SIGNED # -- Compiling architecture LPM_SYN of LPM_COMPARE_SIGNED # -- Compiling entity LPM_COMPARE_UNSIGNED # -- Compiling architecture LPM_SYN of LPM_COMPARE_UNSIGNED # -- Loading entity LPM_COMPARE_SIGNED # -- Loading entity LPM_COMPARE_UNSIGNED # -- Compiling entity LPM_COMPARE # -- Compiling architecture LPM_SYN of LPM_COMPARE # -- Loading package LPM_HINT_EVALUATION # -- Compiling entity LPM_MULT # -- Compiling architecture LPM_SYN of LPM_MULT # -- Compiling entity LPM_DIVIDE # -- Compiling architecture behave of lpm_divide # -- Compiling entity lpm_abs # -- Compiling architecture LPM_SYN of LPM_ABS # -- Loading package LPM_COMMON_CONVERSION # -- Compiling entity LPM_COUNTER # -- Compiling architecture LPM_SYN of LPM_COUNTER # -- Compiling entity LPM_LATCH # -- Compiling architecture LPM_SYN of LPM_LATCH # -- Compiling entity LPM_FF # -- Compiling architecture LPM_SYN of LPM_FF # -- Compiling entity LPM_SHIFTREG # -- Compiling architecture LPM_SYN of LPM_SHIFTREG # -- Loading package LPM_DEVICE_FAMILIES # -- Compiling entity LPM_RAM_DQ # -- Compiling architecture LPM_SYN of lpm_ram_dq # -- Compiling entity LPM_RAM_DP # -- Compiling architecture LPM_SYN of LPM_RAM_DP # -- Compiling entity LPM_RAM_IO # -- Compiling architecture LPM_SYN of lpm_ram_io # -- Compiling entity LPM_ROM # -- Compiling architecture LPM_SYN of lpm_rom # -- Compiling entity LPM_FIFO # -- Compiling architecture behavior of LPM_FIFO # -- Compiling entity LPM_FIFO_DC_DFFPIPE # -- Compiling architecture behavior of LPM_FIFO_DC_DFFPIPE # -- Compiling entity LPM_FIFO_DC_FEFIFO # -- Compiling architecture behavior of LPM_FIFO_DC_FEFIFO # -- Loading entity LPM_FIFO_DC_FEFIFO # -- Loading entity LPM_FIFO_DC_DFFPIPE # -- Compiling entity LPM_FIFO_DC_ASYNC # -- Compiling architecture behavior of LPM_FIFO_DC_ASYNC # -- Loading entity LPM_FIFO_DC_ASYNC # -- Compiling entity LPM_FIFO_DC # -- Compiling architecture behavior of LPM_FIFO_DC # -- Compiling entity LPM_INpad # -- Compiling architecture LPM_SYN of LPM_INpad # -- Compiling entity LPM_OUTpad # -- Compiling architecture LPM_SYN of LPM_OUTpad # -- Compiling entity LPM_BIpad # -- Compiling architecture LPM_SYN of LPM_BIpad # End time: 16:23:29 on Aug 22,2018, Elapsed time: 0:00:01 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:30 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/sgate_pack.vhd -work sgate # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Compiling package sgate_pack # -- Compiling package body sgate_pack # -- Loading package sgate_pack # End time: 16:23:30 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:30 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/sgate.vhd -work sgate # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package STD_LOGIC_SIGNED # -- Compiling entity oper_add # -- Compiling architecture sim_arch of oper_add # -- Compiling entity oper_addsub # -- Compiling architecture sim_arch of oper_addsub # -- Compiling entity mux21 # -- Compiling architecture sim_arch of mux21 # -- Compiling entity io_buf_tri # -- Compiling architecture sim_arch of io_buf_tri # -- Compiling entity io_buf_opdrn # -- Compiling architecture sim_arch of io_buf_opdrn # -- Compiling entity tri_bus # -- Compiling architecture sim_arch of tri_bus # -- Compiling entity oper_mult # -- Compiling architecture sim_arch of oper_mult # -- Loading package LPM_COMPONENTS # -- Compiling entity oper_div # -- Compiling architecture sim_arch of oper_div # -- Compiling entity oper_mod # -- Compiling architecture sim_arch of oper_mod # -- Loading package STD_LOGIC_UNSIGNED # -- Compiling entity oper_left_shift # -- Compiling architecture sim_arch of oper_left_shift # -- Compiling entity oper_right_shift # -- Compiling architecture sim_arch of oper_right_shift # -- Compiling entity oper_rotate_left # -- Compiling architecture sim_arch of oper_rotate_left # -- Compiling entity oper_rotate_right # -- Compiling architecture sim_arch of oper_rotate_right # -- Compiling entity oper_less_than # -- Compiling architecture sim_arch of oper_less_than # -- Loading package sgate_pack # -- Compiling entity oper_mux # -- Compiling architecture sim_arch of oper_mux # -- Compiling entity oper_selector # -- Compiling architecture sim_arch of oper_selector # -- Compiling entity oper_prio_selector # -- Compiling architecture sim_arch of oper_prio_selector # -- Compiling entity oper_decoder # -- Compiling architecture sim_arch of oper_decoder # -- Compiling entity oper_bus_mux # -- Compiling architecture sim_arch of oper_bus_mux # -- Compiling entity oper_latch # -- Compiling architecture sim_arch of oper_latch # End time: 16:23:30 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:31 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/altera_mf_components.vhd -work altera_mf # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Compiling package altera_mf_components # End time: 16:23:31 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:31 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/altera_mf.vhd -work altera_mf # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Compiling entity LCELL # -- Compiling architecture BEHAVIOR of LCELL # -- Compiling package ALTERA_COMMON_CONVERSION # -- Compiling package body ALTERA_COMMON_CONVERSION # -- Loading package ALTERA_COMMON_CONVERSION # -- Compiling package ALTERA_MF_HINT_EVALUATION # -- Compiling package body ALTERA_MF_HINT_EVALUATION # -- Loading package ALTERA_MF_HINT_EVALUATION # -- Compiling package ALTERA_DEVICE_FAMILIES # -- Compiling package body ALTERA_DEVICE_FAMILIES # -- Loading package ALTERA_DEVICE_FAMILIES # -- Compiling package MF_pllpack # -- Compiling package body MF_pllpack # -- Loading package MF_pllpack # -- Compiling entity DFFP # -- Compiling architecture behave of DFFP # -- Compiling entity pll_iobuf # -- Compiling architecture BEHAVIOR of pll_iobuf # -- Compiling entity MF_m_cntr # -- Compiling architecture behave of MF_m_cntr # -- Compiling entity MF_n_cntr # -- Compiling architecture behave of MF_n_cntr # -- Compiling entity stx_scale_cntr # -- Compiling architecture behave of stx_scale_cntr # -- Compiling entity MF_pll_reg # -- Compiling architecture behave of MF_pll_reg # -- Loading package MF_pllpack # -- Loading entity MF_m_cntr # -- Loading entity MF_n_cntr # -- Loading entity stx_scale_cntr # -- Loading entity DFFP # -- Loading entity MF_pll_reg # -- Compiling entity MF_stratix_pll # -- Compiling architecture vital_pll of MF_stratix_pll # -- Compiling entity arm_m_cntr # -- Compiling architecture behave of arm_m_cntr # -- Compiling entity arm_n_cntr # -- Compiling architecture behave of arm_n_cntr # -- Compiling entity arm_scale_cntr # -- Compiling architecture behave of arm_scale_cntr # -- Loading entity arm_m_cntr # -- Loading entity arm_n_cntr # -- Loading entity arm_scale_cntr # -- Compiling entity MF_stratixii_pll # -- Compiling architecture vital_pll of MF_stratixii_pll # -- Loading package std_logic_arith # -- Loading package STD_LOGIC_UNSIGNED # -- Compiling entity MF_ttn_mn_cntr # -- Compiling architecture behave of MF_ttn_mn_cntr # -- Compiling entity MF_ttn_scale_cntr # -- Compiling architecture behave of MF_ttn_scale_cntr # -- Loading entity MF_ttn_mn_cntr # -- Loading entity MF_ttn_scale_cntr # -- Compiling entity MF_stratixiii_pll # -- Compiling architecture vital_pll of MF_stratixiii_pll # -- Compiling entity MF_cda_mn_cntr # -- Compiling architecture behave of MF_cda_mn_cntr # -- Compiling entity MF_cda_scale_cntr # -- Compiling architecture behave of MF_cda_scale_cntr # -- Loading entity MF_cda_mn_cntr # -- Loading entity MF_cda_scale_cntr # -- Compiling entity MF_cycloneiii_pll # -- Compiling architecture vital_pll of MF_cycloneiii_pll # -- Compiling entity MF_stingray_mn_cntr # -- Compiling architecture behave of MF_stingray_mn_cntr # -- Compiling entity MF_stingray_post_divider # -- Compiling architecture behave of MF_stingray_post_divider # -- Compiling entity MF_stingray_scale_cntr # -- Compiling architecture behave of MF_stingray_scale_cntr # -- Loading entity MF_stingray_mn_cntr # -- Loading entity MF_stingray_scale_cntr # -- Compiling entity MF_cycloneiiigl_pll # -- Compiling architecture vital_pll of MF_cycloneiiigl_pll # -- Loading package ALTERA_DEVICE_FAMILIES # -- Loading entity MF_stratix_pll # -- Loading entity MF_stratixii_pll # -- Loading entity MF_stratixiii_pll # -- Loading entity MF_cycloneiii_pll # -- Loading entity MF_cycloneiiigl_pll # -- Loading entity pll_iobuf # -- Compiling entity altpll # -- Compiling architecture behavior of altpll # -- Compiling entity altaccumulate # -- Compiling architecture behaviour of altaccumulate # -- Compiling entity altmult_accum # -- Compiling architecture behaviour of altmult_accum # -- Compiling entity altmult_add # -- Compiling architecture behaviour of altmult_add # -- Loading package ALTERA_COMMON_CONVERSION # -- Compiling entity altfp_mult # -- Compiling architecture behavior of altfp_mult # -- Compiling entity altsqrt # -- Compiling architecture behavior of altsqrt # -- Compiling entity altclklock # -- Compiling architecture behavior of altclklock # -- Compiling entity altddio_in # -- Compiling architecture behave of altddio_in # -- Compiling entity altddio_out # -- Compiling architecture behave of altddio_out # -- Loading entity altddio_in # -- Loading entity altddio_out # -- Compiling entity altddio_bidir # -- Compiling architecture struct of altddio_bidir # -- Compiling entity stratixii_lvds_rx # -- Compiling architecture behavior of stratixii_lvds_rx # -- Compiling entity flexible_lvds_rx # -- Compiling architecture behavior of flexible_lvds_rx # -- Compiling entity stratixiii_lvds_rx_dpa # -- Compiling architecture behavior of stratixiii_lvds_rx_dpa # -- Compiling entity stratixv_local_clk_divider # -- Compiling architecture behavior of stratixv_local_clk_divider # -- Loading entity stratixiii_lvds_rx_dpa # -- Loading entity stratixv_local_clk_divider # -- Compiling entity stratixiii_lvds_rx_channel # -- Compiling architecture behavior of stratixiii_lvds_rx_channel # -- Loading entity stratixiii_lvds_rx_channel # -- Compiling entity stratixiii_lvds_rx # -- Compiling architecture behavior of stratixiii_lvds_rx # -- Loading entity stratixii_lvds_rx # -- Loading entity flexible_lvds_rx # -- Loading entity stratixiii_lvds_rx # -- Compiling entity altlvds_rx # -- Compiling architecture behavior of altlvds_rx # -- Compiling entity stratix_tx_outclk # -- Compiling architecture behavior of stratix_tx_outclk # -- Compiling entity stratixii_tx_outclk # -- Compiling architecture behavior of stratixii_tx_outclk # -- Compiling entity flexible_lvds_tx # -- Compiling architecture behavior of flexible_lvds_tx # -- Loading entity stratix_tx_outclk # -- Loading entity stratixii_tx_outclk # -- Loading entity flexible_lvds_tx # -- Compiling entity altlvds_tx # -- Compiling architecture behavior of altlvds_tx # -- Compiling entity altdpram # -- Compiling architecture behavior of altdpram # -- Compiling entity altsyncram # -- Compiling architecture translated of altsyncram # -- Loading entity altsyncram # -- Loading package ALTERA_MF_HINT_EVALUATION # -- Compiling entity alt3pram # -- Compiling architecture behavior of alt3pram # -- Loading package altera_mf_components # -- Compiling entity parallel_add # -- Compiling architecture behaviour of parallel_add # -- Compiling entity SCFIFO # -- Compiling architecture behavior of SCFIFO # -- Compiling entity DCFIFO_DFFPIPE # -- Compiling architecture behavior of DCFIFO_DFFPIPE # -- Compiling entity DCFIFO_FEFIFO # -- Compiling architecture behavior of DCFIFO_FEFIFO # -- Loading entity DCFIFO_FEFIFO # -- Loading entity DCFIFO_DFFPIPE # -- Compiling entity DCFIFO_ASYNC # -- Compiling architecture behavior of DCFIFO_ASYNC # -- Compiling entity DCFIFO_SYNC # -- Compiling architecture behavior of DCFIFO_SYNC # -- Compiling entity DCFIFO_LOW_LATENCY # -- Compiling architecture behavior of DCFIFO_LOW_LATENCY # -- Loading entity DCFIFO_ASYNC # -- Loading entity DCFIFO_SYNC # -- Loading entity DCFIFO_LOW_LATENCY # -- Compiling entity DCFIFO_MIXED_WIDTHS # -- Compiling architecture behavior of DCFIFO_MIXED_WIDTHS # -- Loading entity DCFIFO_MIXED_WIDTHS # -- Compiling entity DCFIFO # -- Compiling architecture behavior of DCFIFO # -- Compiling entity altshift_taps # -- Compiling architecture behavioural of altshift_taps # -- Compiling entity A_GRAYCOUNTER # -- Compiling architecture behavior of A_GRAYCOUNTER # -- Compiling entity altsquare # -- Compiling architecture altsquare_syn of altsquare # -- Compiling entity altera_std_synchronizer # -- Compiling architecture behavioral of altera_std_synchronizer # -- Compiling entity altera_std_synchronizer_bundle # -- Compiling architecture behavioral of altera_std_synchronizer_bundle # -- Compiling entity alt_cal # -- Compiling architecture RTL of alt_cal # -- Compiling entity alt_cal_mm # -- Compiling architecture RTL of alt_cal_mm # -- Compiling entity alt_cal_c3gxb # -- Compiling architecture RTL of alt_cal_c3gxb # -- Compiling entity alt_cal_sv # -- Compiling architecture RTL of alt_cal_sv # -- Compiling entity alt_cal_av # -- Compiling architecture RTL of alt_cal_av # -- Compiling package alt_aeq_s4_func # -- Compiling package body alt_aeq_s4_func # -- Loading package alt_aeq_s4_func # -- Loading package alt_aeq_s4_func # -- Compiling entity alt_aeq_s4 # -- Compiling architecture trans of alt_aeq_s4 # -- Compiling package alt_eyemon_func # -- Compiling package body alt_eyemon_func # -- Loading package alt_eyemon_func # -- Loading package alt_eyemon_func # -- Compiling entity alt_eyemon # -- Compiling architecture trans of alt_eyemon # -- Compiling package alt_dfe_func # -- Compiling package body alt_dfe_func # -- Loading package alt_dfe_func # -- Loading package alt_dfe_func # -- Compiling entity alt_dfe # -- Compiling architecture trans of alt_dfe # -- Compiling package SLD_NODE # -- Compiling package body SLD_NODE # -- Loading package SLD_NODE # -- Loading package SLD_NODE # -- Compiling entity signal_gen # -- Compiling architecture simModel of signal_gen # -- Compiling entity jtag_tap_controller # -- Compiling architecture FSM of jtag_tap_controller # -- Compiling entity dummy_hub # -- Compiling architecture behavior of dummy_hub # -- Loading entity signal_gen # -- Loading entity jtag_tap_controller # -- Loading entity dummy_hub # -- Compiling entity sld_virtual_jtag # -- Compiling architecture structural of sld_virtual_jtag # -- Compiling entity sld_signaltap # -- Compiling architecture sim_sld_signaltap of sld_signaltap # -- Compiling entity altstratixii_oct # -- Compiling architecture sim_altstratixii_oct of altstratixii_oct # -- Compiling entity altparallel_flash_loader # -- Compiling architecture sim_altparallel_flash_loader of altparallel_flash_loader # -- Compiling entity altserial_flash_loader # -- Compiling architecture sim_altserial_flash_loader of altserial_flash_loader # -- Compiling entity alt_fault_injection # -- Compiling architecture sim_alt_fault_injection of alt_fault_injection # -- Compiling entity sld_virtual_jtag_basic # -- Compiling architecture sim_sld_virtual_jtag_basic of sld_virtual_jtag_basic # -- Compiling entity altsource_probe # -- Compiling architecture sim_altsource_probe of altsource_probe # End time: 16:23:34 on Aug 22,2018, Elapsed time: 0:00:03 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vlog 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:35 on Aug 22,2018 # vlog -reportprogress 300 -sv C:/intelfpga_pro/18.0/quartus/eda/sim_lib/mentor/altera_lnsim_for_vhdl.sv -work altera_lnsim # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # # Top level modules: # End time: 16:23:36 on Aug 22,2018, Elapsed time: 0:00:01 # Errors: 0, Warnings: 1 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:36 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/altera_lnsim_components.vhd -work altera_lnsim # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Compiling package altera_lnsim_components # End time: 16:23:36 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vlog 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:36 on Aug 22,2018 # vlog -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/mentor/twentynm_atoms_ncrypt.v -work twentynm # # Top level modules: # End time: 16:23:45 on Aug 22,2018, Elapsed time: 0:00:09 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:45 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/twentynm_atoms.vhd -work twentynm # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package VITAL_Timing # -- Loading package VITAL_Primitives # -- Compiling package twentynm_atom_pack # -- Compiling package body twentynm_atom_pack # -- Loading package twentynm_atom_pack # -- Compiling package twentynm_pllpack # -- Compiling package body twentynm_pllpack # -- Loading package twentynm_pllpack # -- Loading package twentynm_atom_pack # -- Compiling entity twentynm_dffe # -- Compiling architecture behave of twentynm_dffe # -- Compiling entity twentynm_mux21 # -- Compiling architecture AltVITAL of twentynm_mux21 # -- Compiling entity twentynm_mux41 # -- Compiling architecture AltVITAL of twentynm_mux41 # -- Compiling entity twentynm_and1 # -- Compiling architecture AltVITAL of twentynm_and1 # -- Loading entity twentynm_and1 # -- Compiling entity twentynm_ff # -- Compiling architecture vital_lcell_ff of twentynm_ff # -- Compiling entity twentynm_lcell_comb # -- Compiling architecture vital_lcell_comb of twentynm_lcell_comb # -- Compiling entity twentynm_routing_wire ###### C:/intelfpga_pro/18.0/quartus/eda/sim_lib/twentynm_atoms.vhd(2123): tpd_datainglitch_dataout : VitalDelayType01 := DefPropDelay01; # # ** Warning: C:/intelfpga_pro/18.0/quartus/eda/sim_lib/twentynm_atoms.vhd(2123): (vcom-1288) VITAL timing generic "tpd_datainglitch_dataout" port specification "datainglitch" does not denote a port. # (1076.4 section 4.3.2.1.3) # -- Compiling architecture behave of twentynm_routing_wire # -- Loading package altera_lnsim_components # -- Compiling entity twentynm_ram_block # -- Compiling architecture block_arch of twentynm_ram_block # -- Loading package std_logic_arith # -- Loading package STD_LOGIC_UNSIGNED # -- Compiling entity twentynm_mlab_cell # -- Compiling architecture trans of twentynm_mlab_cell # -- Compiling entity twentynm_io_ibuf # -- Compiling architecture arch of twentynm_io_ibuf # -- Compiling entity twentynm_io_obuf # -- Compiling architecture arch of twentynm_io_obuf # -- Compiling entity twentynm_pseudo_diff_out # -- Compiling architecture arch of twentynm_pseudo_diff_out # -- Compiling entity twentynm_io_pad # -- Compiling architecture arch of twentynm_io_pad # -- Compiling entity twentynm_bias_logic # -- Compiling architecture vital_bias_logic of twentynm_bias_logic # -- Compiling entity twentynm_bias_generator # -- Compiling architecture vital_bias_generator of twentynm_bias_generator # -- Compiling entity twentynm_bias_block # -- Compiling architecture vital_bias_block of twentynm_bias_block # -- Compiling entity twentynm_clk_phase_select # -- Compiling architecture behavior of twentynm_clk_phase_select # -- Compiling entity twentynm_clkena # -- Compiling architecture behavior of twentynm_clkena # -- Compiling entity twentynm_clkselect # -- Compiling architecture behavior of twentynm_clkselect # -- Compiling entity twentynm_delay_chain # -- Compiling architecture behavior of twentynm_delay_chain # -- Compiling entity twentynm_dll_offset_ctrl # -- Compiling architecture behavior of twentynm_dll_offset_ctrl # -- Compiling entity twentynm_dll # -- Compiling architecture behavior of twentynm_dll # -- Compiling entity twentynm_dqs_config # -- Compiling architecture behavior of twentynm_dqs_config # -- Compiling entity twentynm_dqs_delay_chain # -- Compiling architecture behavior of twentynm_dqs_delay_chain # -- Compiling entity twentynm_dqs_enable_ctrl # -- Compiling architecture behavior of twentynm_dqs_enable_ctrl # -- Compiling entity twentynm_duty_cycle_adjustment # -- Compiling architecture behavior of twentynm_duty_cycle_adjustment # -- Compiling entity twentynm_half_rate_input # -- Compiling architecture behavior of twentynm_half_rate_input # -- Compiling entity twentynm_input_phase_alignment # -- Compiling architecture behavior of twentynm_input_phase_alignment # -- Compiling entity twentynm_io_clock_divider # -- Compiling architecture behavior of twentynm_io_clock_divider # -- Compiling entity twentynm_io_config # -- Compiling architecture behavior of twentynm_io_config # -- Compiling entity twentynm_ir_fifo_userdes # -- Compiling architecture behavior of twentynm_ir_fifo_userdes # -- Compiling entity twentynm_leveling_delay_chain # -- Compiling architecture behavior of twentynm_leveling_delay_chain # -- Compiling entity twentynm_lfifo # -- Compiling architecture behavior of twentynm_lfifo # -- Compiling entity twentynm_vfifo # -- Compiling architecture behavior of twentynm_vfifo # -- Compiling entity twentynm_mem_phy # -- Compiling architecture behavior of twentynm_mem_phy # -- Compiling entity twentynm_phy_clkbuf # -- Compiling architecture behavior of twentynm_phy_clkbuf # -- Compiling entity twentynm_read_fifo_read_clock_select # -- Compiling architecture behavior of twentynm_read_fifo_read_clock_select # -- Compiling entity twentynm_lvds_rx # -- Compiling architecture behavior of twentynm_lvds_rx # -- Compiling entity twentynm_lvds_tx # -- Compiling architecture behavior of twentynm_lvds_tx # -- Compiling entity twentynm_output_alignment # -- Compiling architecture behavior of twentynm_output_alignment # -- Compiling entity twentynm_termination_logic # -- Compiling architecture behavior of twentynm_termination_logic # -- Compiling entity twentynm_termination # -- Compiling architecture behavior of twentynm_termination # -- Compiling entity twentynm_asmiblock # -- Compiling architecture behavior of twentynm_asmiblock # -- Compiling entity twentynm_crcblock # -- Compiling architecture behavior of twentynm_crcblock # -- Compiling entity twentynm_opregblock # -- Compiling architecture behavior of twentynm_opregblock # -- Compiling entity twentynm_jtag # -- Compiling architecture behavior of twentynm_jtag # -- Compiling entity twentynm_jtagblock # -- Compiling architecture behavior of twentynm_jtagblock # -- Compiling entity twentynm_rublock # -- Compiling architecture behavior of twentynm_rublock # -- Compiling entity twentynm_tsdblock # -- Compiling architecture behavior of twentynm_tsdblock # -- Compiling entity twentynm_vsblock # -- Compiling architecture behavior of twentynm_vsblock # -- Compiling entity twentynm_read_fifo # -- Compiling architecture behavior of twentynm_read_fifo # -- Compiling entity twentynm_read_fifo_read_enable # -- Compiling architecture behavior of twentynm_read_fifo_read_enable # -- Compiling entity twentynm_fp_mac # -- Compiling architecture behavior of twentynm_fp_mac # -- Compiling entity twentynm_mac # -- Compiling architecture behavior of twentynm_mac # -- Compiling entity twentynm_serdes_dpa # -- Compiling architecture behavior of twentynm_serdes_dpa # -- Compiling entity twentynm_lvds_clock_tree # -- Compiling architecture behavior of twentynm_lvds_clock_tree # -- Compiling entity twentynm_oscillator # -- Compiling architecture behavior of twentynm_oscillator # -- Compiling entity twentynm_iopll # -- Compiling architecture behavior of twentynm_iopll # -- Compiling entity twentynm_ddio_out # -- Compiling architecture behavior of twentynm_ddio_out # -- Compiling entity twentynm_ddio_in # -- Compiling architecture behavior of twentynm_ddio_in # -- Compiling entity twentynm_io_serdes_dpa # -- Compiling architecture behavior of twentynm_io_serdes_dpa # -- Compiling entity twentynm_io_12_lane # -- Compiling architecture behavior of twentynm_io_12_lane # -- Compiling entity twentynm_tile_ctrl # -- Compiling architecture behavior of twentynm_tile_ctrl # -- Compiling entity twentynm_refclk_input # -- Compiling architecture behavior of twentynm_refclk_input # -- Compiling entity twentynm_io_aux # -- Compiling architecture behavior of twentynm_io_aux # End time: 16:23:46 on Aug 22,2018, Elapsed time: 0:00:01 # Errors: 0, Warnings: 1 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:47 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/twentynm_components.vhd -work twentynm # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package VITAL_Timing # -- Loading package VITAL_Primitives # -- Loading package twentynm_atom_pack # -- Compiling package twentynm_components # End time: 16:23:47 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vlog 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:23:48 on Aug 22,2018 # vlog -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v -work twentynm_hssi # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # # Top level modules: # End time: 16:24:11 on Aug 22,2018, Elapsed time: 0:00:23 # Errors: 0, Warnings: 1260 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:12 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/twentynm_hssi_components.vhd -work twentynm_hssi # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Compiling package TWENTYNM_HSSI_COMPONENTS # -- Compiling package body TWENTYNM_HSSI_COMPONENTS # -- Loading package TWENTYNM_HSSI_COMPONENTS # End time: 16:24:12 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:12 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/twentynm_hssi_atoms.vhd -work twentynm_hssi # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package TWENTYNM_HSSI_COMPONENTS # -- Compiling entity twentynm_atx_pll # -- Compiling architecture behavior of twentynm_atx_pll # -- Compiling entity twentynm_cmu_fpll_refclk_select # -- Compiling architecture behavior of twentynm_cmu_fpll_refclk_select # -- Compiling entity twentynm_cmu_fpll # -- Compiling architecture behavior of twentynm_cmu_fpll # -- Compiling entity twentynm_hssi_10g_rx_pcs # -- Compiling architecture behavior of twentynm_hssi_10g_rx_pcs # -- Compiling entity twentynm_hssi_10g_tx_pcs # -- Compiling architecture behavior of twentynm_hssi_10g_tx_pcs # -- Compiling entity twentynm_hssi_8g_rx_pcs # -- Compiling architecture behavior of twentynm_hssi_8g_rx_pcs # -- Compiling entity twentynm_hssi_8g_tx_pcs # -- Compiling architecture behavior of twentynm_hssi_8g_tx_pcs # -- Compiling entity twentynm_hssi_common_pcs_pma_interface # -- Compiling architecture behavior of twentynm_hssi_common_pcs_pma_interface # -- Compiling entity twentynm_hssi_common_pld_pcs_interface # -- Compiling architecture behavior of twentynm_hssi_common_pld_pcs_interface # -- Compiling entity twentynm_hssi_fifo_rx_pcs # -- Compiling architecture behavior of twentynm_hssi_fifo_rx_pcs # -- Compiling entity twentynm_hssi_fifo_tx_pcs # -- Compiling architecture behavior of twentynm_hssi_fifo_tx_pcs # -- Compiling entity twentynm_hssi_gen3_rx_pcs # -- Compiling architecture behavior of twentynm_hssi_gen3_rx_pcs # -- Compiling entity twentynm_hssi_gen3_tx_pcs # -- Compiling architecture behavior of twentynm_hssi_gen3_tx_pcs # -- Compiling entity twentynm_hssi_gen3_x8_pcie_hip # -- Compiling architecture behavior of twentynm_hssi_gen3_x8_pcie_hip # -- Compiling entity twentynm_hssi_krfec_rx_pcs # -- Compiling architecture behavior of twentynm_hssi_krfec_rx_pcs # -- Compiling entity twentynm_hssi_krfec_tx_pcs # -- Compiling architecture behavior of twentynm_hssi_krfec_tx_pcs # -- Compiling entity twentynm_hssi_pipe_gen1_2 # -- Compiling architecture behavior of twentynm_hssi_pipe_gen1_2 # -- Compiling entity twentynm_hssi_pipe_gen3 # -- Compiling architecture behavior of twentynm_hssi_pipe_gen3 # -- Compiling entity twentynm_hssi_pma_adaptation # -- Compiling architecture behavior of twentynm_hssi_pma_adaptation # -- Compiling entity twentynm_hssi_pma_aux # -- Compiling architecture behavior of twentynm_hssi_pma_aux # -- Compiling entity twentynm_hssi_pma_cdr_refclk_select_mux # -- Compiling architecture behavior of twentynm_hssi_pma_cdr_refclk_select_mux # -- Compiling entity twentynm_hssi_pma_cgb_master # -- Compiling architecture behavior of twentynm_hssi_pma_cgb_master # -- Compiling entity twentynm_hssi_pma_channel_pll # -- Compiling architecture behavior of twentynm_hssi_pma_channel_pll # -- Compiling entity twentynm_hssi_pma_lc_refclk_select_mux # -- Compiling architecture behavior of twentynm_hssi_pma_lc_refclk_select_mux # -- Compiling entity twentynm_hssi_pma_rx_buf # -- Compiling architecture behavior of twentynm_hssi_pma_rx_buf # -- Compiling entity twentynm_hssi_pma_rx_deser # -- Compiling architecture behavior of twentynm_hssi_pma_rx_deser # -- Compiling entity twentynm_hssi_pma_rx_dfe # -- Compiling architecture behavior of twentynm_hssi_pma_rx_dfe # -- Compiling entity twentynm_hssi_pma_rx_odi # -- Compiling architecture behavior of twentynm_hssi_pma_rx_odi # -- Compiling entity twentynm_hssi_pma_rx_sd # -- Compiling architecture behavior of twentynm_hssi_pma_rx_sd # -- Compiling entity twentynm_hssi_pma_tx_buf # -- Compiling architecture behavior of twentynm_hssi_pma_tx_buf # -- Compiling entity twentynm_hssi_pma_tx_cgb # -- Compiling architecture behavior of twentynm_hssi_pma_tx_cgb # -- Compiling entity twentynm_hssi_pma_tx_ser # -- Compiling architecture behavior of twentynm_hssi_pma_tx_ser # -- Compiling entity twentynm_hssi_pma_uc # -- Compiling architecture behavior of twentynm_hssi_pma_uc # -- Compiling entity twentynm_hssi_refclk_divider # -- Compiling architecture behavior of twentynm_hssi_refclk_divider # -- Compiling entity twentynm_hssi_rx_pcs_pma_interface # -- Compiling architecture behavior of twentynm_hssi_rx_pcs_pma_interface # -- Compiling entity twentynm_hssi_rx_pld_pcs_interface # -- Compiling architecture behavior of twentynm_hssi_rx_pld_pcs_interface # -- Compiling entity twentynm_hssi_tx_pcs_pma_interface # -- Compiling architecture behavior of twentynm_hssi_tx_pcs_pma_interface # -- Compiling entity twentynm_hssi_tx_pld_pcs_interface # -- Compiling architecture behavior of twentynm_hssi_tx_pld_pcs_interface # -- Compiling entity twentynm_hssi_avmm_if # -- Compiling architecture behavior of twentynm_hssi_avmm_if # End time: 16:24:12 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vlog 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:13 on Aug 22,2018 # vlog -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/mentor/twentynm_hip_atoms_ncrypt.v -work twentynm_hip # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # ** Warning: (vlog-2083) (): Carriage return (0x0D) is not followed by a newline (0x0A). # # Top level modules: # End time: 16:24:20 on Aug 22,2018, Elapsed time: 0:00:07 # Errors: 0, Warnings: 292 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:21 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/twentynm_hip_components.vhd -work twentynm_hip # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Compiling package TWENTYNM_HIP_COMPONENTS # -- Compiling package body TWENTYNM_HIP_COMPONENTS # -- Loading package TWENTYNM_HIP_COMPONENTS # End time: 16:24:21 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:22 on Aug 22,2018 # vcom -reportprogress 300 C:/intelfpga_pro/18.0/quartus/eda/sim_lib/twentynm_hip_atoms.vhd -work twentynm_hip # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package TWENTYNM_HIP_COMPONENTS # -- Compiling entity twentynm_hssi_gen3_x8_pcie_hip # -- Compiling architecture behavior of twentynm_hssi_gen3_x8_pcie_hip # End time: 16:24:22 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # [exec] com # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:22 on Aug 22,2018 # vcom -reportprogress 300 D:/LHCb/FPGAPrjs/UTDataProcV01/srcIPs/OutputFifo/fifo_180/sim/OutputFifo_fifo_180_zp2vcei.vhd -work fifo_180 # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Compiling entity OutputFifo_fifo_180_zp2vcei # -- Compiling architecture SYN of OutputFifo_fifo_180_zp2vcei # End time: 16:24:22 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:22 on Aug 22,2018 # vcom -reportprogress 300 D:/LHCb/FPGAPrjs/UTDataProcV01/srcIPs/OutputFifo/sim/OutputFifo.vhd -work OutputFifo # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package NUMERIC_STD # -- Compiling entity OutputFifo # -- Compiling architecture rtl of OutputFifo # -- Loading entity OutputFifo_fifo_180_zp2vcei # End time: 16:24:22 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:22 on Aug 22,2018 # vcom -reportprogress 300 D:/LHCb/FPGAPrjs/UTDataProcV01/srcIPs/fifoInput/fifo_180/sim/fifoInput_fifo_180_kifhxca.vhd -work fifo_180 # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Compiling entity fifoInput_fifo_180_kifhxca # -- Compiling architecture SYN of fifoInput_fifo_180_kifhxca # End time: 16:24:22 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:22 on Aug 22,2018 # vcom -reportprogress 300 D:/LHCb/FPGAPrjs/UTDataProcV01/srcIPs/fifoInput/sim/fifoInput.vhd -work fifoInput # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package NUMERIC_STD # -- Compiling entity fifoInput # -- Compiling architecture rtl of fifoInput # -- Loading entity fifoInput_fifo_180_kifhxca # End time: 16:24:22 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:23 on Aug 22,2018 # vcom -reportprogress 300 -work work D:/LHCb/FPGAPrjs/UTDataProcV01/Packages/UTCommonPackage.vhd # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Compiling package UTCommonPackage # End time: 16:24:23 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:23 on Aug 22,2018 # vcom -reportprogress 300 -work work D:/LHCb/FPGAPrjs/UTDataProcV01/TestBenchs/UTDPtestBenchSingleElinkRepeated7times.vhd # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package std_logic_textio # -- Loading package UTCommonPackage # -- Compiling entity Compactor_tb # -- Compiling architecture beha of Compactor_tb # -- Compiling entity eLinkBusGenerator # -- Compiling architecture beha of eLinkBusGenerator # -- Compiling entity eLinkFromFile # -- Compiling architecture beha of eLinkFromFile # -- Compiling entity Compactor2file # -- Compiling architecture beha of Compactor2file # End time: 16:24:23 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:23 on Aug 22,2018 # vcom -reportprogress 300 -work work D:/LHCb/FPGAPrjs/UTDataProcV01/HwSrc/UTDataProcSimTop.vhd # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package UTCommonPackage # -- Compiling entity UT_DataProcessingSimulation # -- Compiling architecture beh of UT_DataProcessingSimulation # -- Compiling entity TestBenchAdaptor # -- Compiling architecture beh of TestBenchAdaptor # End time: 16:24:23 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:23 on Aug 22,2018 # vcom -reportprogress 300 -work work D:/LHCb/FPGAPrjs/UTDataProcV01/HwSrc/UT_DataProcessing.vhd # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package UTCommonPackage # -- Compiling entity UT_DataProcessing # -- Compiling architecture beh of UT_DataProcessing # End time: 16:24:23 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:23 on Aug 22,2018 # vcom -reportprogress 300 -work work D:/LHCb/FPGAPrjs/UTDataProcV01/HwSrc/UT_DP_PreProc.vhd # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package NUMERIC_STD # -- Loading package NUMERIC_STD_UNSIGNED # -- Loading package UTCommonPackage # -- Compiling entity DP_InputControl # -- Compiling architecture beh of DP_InputControl # -- Compiling entity DP_PreProcessing # -- Compiling architecture beh of DP_PreProcessing # -- Compiling entity DP_PreProControl # -- Compiling architecture beh of DP_PreProControl # -- Compiling entity DP_PreProAsyncLogic # -- Compiling architecture beh of DP_PreProAsyncLogic # End time: 16:24:24 on Aug 22,2018, Elapsed time: 0:00:01 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:24 on Aug 22,2018 # vcom -reportprogress 300 -work work D:/LHCb/FPGAPrjs/UTDataProcV01/HwSrc/UT_DP_Core.vhd # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package NUMERIC_STD # -- Loading package NUMERIC_STD_UNSIGNED # -- Loading package UTCommonPackage # -- Compiling entity DP_Core # -- Compiling architecture beh of DP_Core # -- Loading package ATTRIBUTES # -- Loading package std_logic_misc # -- Compiling entity DP_Core_Control # -- Compiling architecture beh of DP_Core_Control # -- Compiling entity DP_Core_Control_Header # -- Compiling architecture beh of DP_Core_Control_Header # End time: 16:24:24 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # Model Technology ModelSim SE-64 vcom 10.7 Compiler 2017.12 Dec 7 2017 # Start time: 16:24:24 on Aug 22,2018 # vcom -reportprogress 300 -work work D:/LHCb/FPGAPrjs/UTDataProcV01/HwSrc/UT_DP_CoreModules.vhd # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package NUMERIC_STD # -- Loading package NUMERIC_STD_UNSIGNED # -- Loading package UTCommonPackage # -- Compiling entity DP_Core_Lane_Builder # -- Compiling architecture beh of DP_Core_Lane_Builder # -- Loading package ATTRIBUTES # -- Loading package std_logic_misc # -- Compiling entity DP_Core_Lane_Builder_Control # -- Compiling architecture beh of DP_Core_Lane_Builder_Control # -- Compiling entity DP_Core_ASIC2LANE_InMux # -- Compiling architecture beh of DP_Core_ASIC2LANE_InMux # -- Compiling entity DP_Core_ASIC2LANE_InData # -- Compiling architecture beh of DP_Core_ASIC2LANE_InData # -- Compiling entity DP_Core_ASIC2LANE_Mux # -- Compiling architecture beh of DP_Core_ASIC2LANE_Mux # End time: 16:24:24 on Aug 22,2018, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # [exec] elab # End time: 16:24:25 on Aug 22,2018, Elapsed time: 0:01:19 # Errors: 0, Warnings: 1575 # vsim -t ps -L work -L work_lib -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L twentynm -L twentynm_hssi -L twentynm_hip -L fifo_180 -L OutputFifo -L fifoInput Compactor_tb # Start time: 16:24:25 on Aug 22,2018 # ** Note: (vsim-8009) Loading existing optimized design _opt # Loading std.standard # Loading std.textio(body) # Loading ieee.std_logic_1164(body) # Loading ieee.std_logic_textio(body) # Loading work.utcommonpackage # Loading ieee.numeric_std(body) # Loading ieee.numeric_std_unsigned(body) # Loading synopsys.attributes # Loading ieee.std_logic_misc(body) # Loading work.compactor_tb(beha)#1 # Loading fifo_180.outputfifo_fifo_180_zp2vcei(syn)#1 # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading altera_mf.altera_device_families(body) # Loading altera_mf.scfifo(behavior)#1 # Loading work.dp_inputcontrol(beh)#1 # Loading work.dp_preprocessing(beh)#1 # Loading altera_mf.altera_mf_hint_evaluation(body) # Loading fifo_180.fifoinput_fifo_180_kifhxca(syn)#1 # Loading altera_mf.dcfifo_mixed_widths(behavior)#1 # Loading altera_mf.dcfifo_async(behavior)#1 # Loading altera_mf.dcfifo_dffpipe(behavior)#3 # Loading altera_mf.dcfifo_low_latency(behavior)#1 # Loading altera_mf.dcfifo_dffpipe(behavior)#5 # Loading work.dp_core_control_header(beh)#1 # Loading work.dp_core_lane_builder(beh)#1 # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(6)/DP_CLB/DP_CA2L_IM/DataOut(31 downto 16) has no driver. # This port will contribute value (16'hXXXX) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(6)/DP_CLB/DP_CA2L_IM/DataOut(15 downto 0) has no driver. # This port will contribute value (16'hXXXX) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(6)/DP_CLB/elinkDoneOut has no driver. # This port will contribute value (U) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(5)/DP_CLB/DP_CA2L_IM/DataOut(31 downto 16) has no driver. # This port will contribute value (16'hXXXX) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(5)/DP_CLB/DP_CA2L_IM/DataOut(15 downto 0) has no driver. # This port will contribute value (16'hXXXX) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(5)/DP_CLB/elinkDoneOut has no driver. # This port will contribute value (U) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(4)/DP_CLB/DP_CA2L_IM/DataOut(31 downto 16) has no driver. # This port will contribute value (16'hXXXX) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(4)/DP_CLB/DP_CA2L_IM/DataOut(15 downto 0) has no driver. # This port will contribute value (16'hXXXX) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(4)/DP_CLB/elinkDoneOut has no driver. # This port will contribute value (U) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(3)/DP_CLB/DP_CA2L_IM/DataOut(31 downto 16) has no driver. # This port will contribute value (16'hXXXX) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(3)/DP_CLB/DP_CA2L_IM/DataOut(15 downto 0) has no driver. # This port will contribute value (16'hXXXX) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(3)/DP_CLB/elinkDoneOut has no driver. # This port will contribute value (U) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(2)/DP_CLB/DP_CA2L_IM/DataOut(31 downto 16) has no driver. # This port will contribute value (16'hXXXX) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(2)/DP_CLB/DP_CA2L_IM/DataOut(15 downto 0) has no driver. # This port will contribute value (16'hXXXX) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(2)/DP_CLB/elinkDoneOut has no driver. # This port will contribute value (U) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(1)/DP_CLB/DP_CA2L_IM/DataOut(31 downto 16) has no driver. # This port will contribute value (16'hXXXX) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(1)/DP_CLB/DP_CA2L_IM/DataOut(15 downto 0) has no driver. # This port will contribute value (16'hXXXX) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(1)/DP_CLB/elinkDoneOut has no driver. # This port will contribute value (U) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(0)/DP_CLB/DP_CA2L_IM/DataOut(31 downto 16) has no driver. # This port will contribute value (16'hXXXX) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(0)/DP_CLB/DP_CA2L_IM/DataOut(15 downto 0) has no driver. # This port will contribute value (16'hXXXX) to the signal network. # ** Warning: (vsim-8683) Uninitialized out port /compactor_tb/CompactorDUT/CompBasic/DP_C/DP_CLBloop(0)/DP_CLB/elinkDoneOut has no driver. # This port will contribute value (U) to the signal network. # .main_pane.objects.interior.cs.body.tree