Info: ******************************************************************* Info: Running Quartus Prime Compiler Database Interface Info: Version 17.0.0 Build 290 04/26/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Thu Jun 21 17:00:28 2018 Info: Command: quartus_cdb top -c base --import_design --file base.qdb --overwrite Info: Quartus(args): --project top -c base --file base.qdb --overwrite Info: Using INI file /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/quartus.ini Info: Running design::import_design -file base.qdb -overwrite Critical Warning (18639): Skipping database version check for import of database files from 'Version 17.0.0 Build 290 04/26/2017 SJ Pro Edition'. The imported database might be incompatible with current version of the software. Critical Warning (18639): Skipping database version check for import of database files from 'Version 17.0.0 Build 290 04/26/2017 SJ Pro Edition'. The imported database might be incompatible with current version of the software. Critical Warning (18639): Skipping database version check for import of database files from 'Version 17.0.0 Build 290 04/26/2017 SJ Pro Edition'. The imported database might be incompatible with current version of the software. Critical Warning (18639): Skipping database version check for import of database files from 'Version 17.0.0 Build 290 04/26/2017 SJ Pro Edition'. The imported database might be incompatible with current version of the software. Info (16677): Loading final database Info (16734): Loading "final" snapshot for partition "root_partition". Info (16734): Loading "final" snapshot for partition "auto_fab_0". Info (16734): Loading "final" snapshot for partition "kernel". Info (16678): Successfully loaded final database: elapsed time is 00:24:14 Info (18230): Checking the imported netlist for invalid settings in the current version of the software. Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Info (23030): Evaluation of Tcl script /home/users/saman/17.0-pro/quartus/common/tcl/internal/qatm_import_design.tcl was successful Info: Quartus Prime Compiler Database Interface was successful. 0 errors, 5 warnings Info: Peak virtual memory: 4942 megabytes Info: Processing ended: Thu Jun 21 17:26:28 2018 Info: Elapsed time: 00:26:00 Info: Total CPU time (on all processors): 00:26:01 Loading tcl libsynth_wl_netlist.so Info: ******************************************************************* Info: Running Quartus Prime Fitter Info: Version 17.0.0 Build 290 04/26/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Thu Jun 21 17:26:30 2018 Info: Command: quartus_fit top -c base Info: Using INI file /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/quartus.ini Info: qfit2_default_script.tcl version: #1 Info: Project = top Info: Revision = base Info (16677): Loading synthesized database Info (16734): Loading "final" snapshot for partition "root_partition". Info (16734): Loading "final" snapshot for partition "auto_fab_0". Info (16734): Loading "final" snapshot for partition "kernel". Info (16678): Successfully loaded synthesized database: elapsed time is 00:00:13 Info (19078): Instance assignments in read-only partitions are ignored: Info (19079): Ignored assignment "LOCATION PIN_AM21 -to config_clk" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AP26 -to kernel_pll_refclk" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AL9 -to ddr0_pll_ref_clk" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_E5 -to ddr1_pll_ref_clk" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AL29 -to pcie_refclk" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AW16 -to perstl0_n" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AN33 -to hip_serial_rx_in[0]" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AM31 -to hip_serial_rx_in[1]" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AM35 -to hip_serial_rx_in[2]" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AL33 -to hip_serial_rx_in[3]" because target belongs to a read-only partition "|" Info (19080): Additional 362 ignored instance assignments are not displayed. Info (20032): Parallel compilation is enabled and will use up to 4 processors Info (119006): Selected device 10AX115N3F40E2SG for design "base" Info (21077): Core supply voltage is 0.95V Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 100 degrees C Warning (18550): Found RAM instances implemented as ROM because the write logic is disabled. One instance is listed below as an example. Info (119043): Atom "board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|cal_slave_component|ioaux_soft_ram|the_altsyncram|auto_generated|ram_block1a0" is instantiated as RAM, but it is actually implemented as ROM function because the write logic is always disabled Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Info (171004): Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Warning (176050): Can't implement Global Signal option for node "ddr1_pll_ref_clk~input" that drives nodes that cannot change routing due to incremental compilation -- other nodes are not affected Warning (176050): Can't implement Global Signal option for node "ddr0_pll_ref_clk~input" that drives nodes that cannot change routing due to incremental compilation -- other nodes are not affected Warning (176050): Can't implement Global Signal option for node "config_clk~input" that drives nodes that cannot change routing due to incremental compilation -- other nodes are not affected Info (12262): Starting Fitter periphery placement operations Info (12290): Loading the periphery placement data. Info (12291): Periphery placement data loaded: elapsed time is 00:00:31 Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details Warning (12789): Real-time CRC ERROR_CHECK_FREQUENCY_DIVISOR value (1) in design does not match value (2) in the Quartus Prime Settings File Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Info (16210): Plan updated with currently enabled project assignments. Info (12295): Periphery placement of all unplaced cells complete: elapsed time is 00:00:01 Warning (18576): The transceivers with supply "VCCR_GXB" on the left HSSI strip use "1.03V". The default voltage for unused HSSI channel(s) has been overridden by "1.03V". Warning (18576): The transceivers with supply "VCCT_GXB" on the left HSSI strip use "1.03V". The default voltage for unused HSSI channel(s) has been overridden by "1.03V". Info (11178): Promoted 13 clocks (9 global, 2 regional, 2 periphery) Info (13173): board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|outclk[0]~CLKENA0 (68130 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2J_G_I2 Info (13173): board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|outclk[1]~CLKENA0 (9 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2J_G_I4 Info (13173): freeze_wrapper_inst|kernel_system_clock_reset_reset_reset_n~CLKENA0 (19134 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2I_G_I1 Info (13173): board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|pll_inst|pll_c_counters[3]~CLKENA0 (178 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_3G_G_I16 Info (13173): board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|non_hps.core_clks_rsts_inst|clk_gen_hmc.hr_qr.clk_gen_master.emif_usr_clk_buf (13734 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_3G_G_I23 Info (13173): board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|non_hps.core_clks_rsts_inst|clk_gen_hmc.hr_qr.clk_gen_master.emif_usr_clk_buf (10271 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_3B_G_I20 Info (13173): board_inst|kernel_interface|kernel_interface|reset_controller_sw|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain_out~CLKENA0 (4137 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_1G_G_I9 Info (13173): board_inst|flash|config_iopll|config_iopll|altera_iopll_i|twentynm_pll|outclk[0]~CLKENA0 (1511 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2I_G_I3 Info (13173): board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|wys~CORE_CLK_OUTCLKENA0 (45485 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_1D_G_I13 Info (13173): board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_rx_user~CLKENA0 (1017 fanout) drives Regional Clock Region 2, with the buffer placed at CLKCTRL_1H_R2_I1 Info (13173): board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_tx_user~CLKENA0 (1382 fanout) drives Regional Clock Region 2, with the buffer placed at CLKCTRL_1H_R2_I0 Info (13173): board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_rx_user~CLKENA0 (1010 fanout) drives Periphery Clock Region 2, with the buffer placed at CLKCTRL_1H_P2_I9 Info (13173): board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_tx_user~CLKENA0 (1397 fanout) drives Periphery Clock Region 2, with the buffer placed at CLKCTRL_1H_P2_I8 Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Info (176233): Starting register packing Info (176235): Finished register packing Extra Info (176219): No registers were packed into other blocks Warning (18576): The transceivers with supply "VCCR_GXB" on the left HSSI strip use "1.03V". The default voltage for unused HSSI channel(s) has been overridden by "1.03V". Warning (18576): The transceivers with supply "VCCT_GXB" on the left HSSI strip use "1.03V". The default voltage for unused HSSI channel(s) has been overridden by "1.03V". Info (12263): Fitter periphery placement operations ending: elapsed time is 00:05:12 Info (332164): Evaluating HDL-embedded SDC commands Info (332165): Entity alt_xcvr_resync Info (332166): set regs [get_registers -nowarn *alt_xcvr_resync*sync_r[0]]; if {[llength [query_collection -report -all $regs]] > 0} {set_false_path -to $regs} Info (332165): Entity altera_std_synchronizer Info (332166): set_false_path -to [get_keepers {*altera_std_synchronizer:*|din_s1}] Info (332165): Entity altpcie_reset_delay_sync Info (332166): set_false_path -from [get_fanins -async *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] -to [get_keepers *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *por_sync_altpcie_reset_delay_sync*rs_meta[*]] -to [get_keepers *por_sync_altpcie_reset_delay_sync*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] -to [get_keepers *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *por_sync_altpcie_reset_delay_sync*rs_meta[*]] -to [get_keepers *por_sync_altpcie_reset_delay_sync*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] -to [get_keepers *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *por_sync_altpcie_reset_delay_sync*rs_meta[*]] -to [get_keepers *por_sync_altpcie_reset_delay_sync*rs_meta[*]] Info (332165): Entity altpcie_sc_bitsync Info (332166): set_multicycle_path -to [get_keepers *pld_clk_in_use_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] 3 Info (332166): set_false_path -hold -to [get_keepers *pld_clk_in_use_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] Info (332166): set_multicycle_path -to [get_keepers *reset_status_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] 3 Info (332166): set_false_path -hold -to [get_keepers *reset_status_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] Info (332165): Entity dcfifo_6ei1 Info (332166): set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_3v8:dffpipe16|dffe17a* Info (332166): set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_2v8:dffpipe13|dffe14a* Info (332165): Entity alt_sld_fab_0_altera_a10_xcvr_reset_sequencer_170_uafyoba Info (332166): if { [get_collection_size [get_pins -compatibility_mode -nowarn ~ALTERA_CLKUSR~~ibuf|o]] > 0 } { create_clock -name ~ALTERA_CLKUSR~ -period 8 [get_pins -compatibility_mode -nowarn ~ALTERA_CLKUSR~~ibuf|o] } Warning (332174): Ignored filter at qfit2_default_fitter_flow.tcl(312): *pld_clk_in_use_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*] could not be matched with a keeper File: /home/users/saman/17.0-pro/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 312 Warning (332049): Ignored set_multicycle_path at qfit2_default_fitter_flow.tcl(312): Argument is an empty collection File: /home/users/saman/17.0-pro/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 312 Info (332050): eval "fit_place $create_fitter_netlist_args" File: /home/users/saman/17.0-pro/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 312 Warning (332049): Ignored set_false_path at qfit2_default_fitter_flow.tcl(312): Argument is an empty collection File: /home/users/saman/17.0-pro/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 312 Info (332050): eval "fit_place $create_fitter_netlist_args" File: /home/users/saman/17.0-pro/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 312 Info (332104): Reading SDC File: 'top.sdc' Info (332104): Reading SDC File: 'kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'board/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_handshake_clock_crosser.sdc' Info (332104): Reading SDC File: 'board/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/altera_xcvr_native_a10_false_paths.sdc' Info (332104): Reading SDC File: 'acl_ddr_a10/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'acl_ddr3b_a10_core/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'acl_ddr3a_a10_core/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/acl_ddr_a10_core_ddr3a_altera_emif_arch_nf_170_bq3yz2q.sdc' Info (332151): Clock uncertainty is not calculated until you update the timing netlist. Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Info (332104): Reading SDC File: 'ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/acl_ddr_a10_core_ddr3b_altera_emif_arch_nf_170_ox4z4ia.sdc' Info (332151): Clock uncertainty is not calculated until you update the timing netlist. Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Warning (114001): Time value "0.93700000000000006 ns" truncated to "0.937 ns" Info (332104): Reading SDC File: 'ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/board/board_kernel_clk_gen/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_handshake_clock_crosser.sdc' Info (332104): Reading SDC File: 'ip/board/board_kernel_clk_gen/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/board/board_reset_controller_global/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/flash/flash_pll_locked_to_reset/nalla_locked_to_reset_11/synth/nalla_locked_to_reset.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr_a10/acl_ddr_a10_clock_cross_kernel_to_ddr3b/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc' Info (332104): Reading SDC File: 'ip/board/board_temperature/acl_temperature_a10_151/synth/temp_sense_a10.sdc' Info (332104): Reading SDC File: 'ip/board/board_pipe_stage_host_sch/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3a_pipe/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr_a10/acl_ddr_a10_clock_cross_kernel_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc' Info (332104): Reading SDC File: 'ip/board/board_memory_bank_divider/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/board/board_alt_pr/alt_pr_170/synth/rtl/alt_pr.sdc' Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Info (332104): Reading SDC File: 'ip/flash/flash_reset_controller/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3b_pipe/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/board/board_pcie/altera_xcvr_native_a10_170/synth/altera_xcvr_native_a10_false_paths.sdc' Info (332104): Reading SDC File: 'ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc' Warning (332174): Ignored filter at altera_pci_express.sdc(29): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg[*] could not be matched with a register File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 29 Warning (332174): Ignored filter at altera_pci_express.sdc(29): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg[*] could not be matched with a register File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 29 Warning (332049): Ignored set_max_skew at altera_pci_express.sdc(29): Argument -to with value [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg[*] }] contains zero elements File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 29 Info (332050): set_max_skew -from [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|wys~pld_clk.reg}] -to [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg[*] }] 6.500 File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 29 Warning (332174): Ignored filter at altera_pci_express.sdc(30): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg_1[*] could not be matched with a register File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 30 Warning (332174): Ignored filter at altera_pci_express.sdc(30): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg_1[*] could not be matched with a register File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 30 Warning (332049): Ignored set_max_skew at altera_pci_express.sdc(30): Argument -to with value [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg_1[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg_1[*] }] contains zero elements File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 30 Info (332050): set_max_skew -from [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|wys~pld_clk.reg}] -to [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg_1[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg_1[*] }] 6.500 File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 30 Warning (332174): Ignored filter at altera_pci_express.sdc(32): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg[*] could not be matched with a register File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 32 Warning (332174): Ignored filter at altera_pci_express.sdc(32): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg_1[*] could not be matched with a register File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 32 Warning (332174): Ignored filter at altera_pci_express.sdc(32): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg[*] could not be matched with a register File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 32 Warning (332174): Ignored filter at altera_pci_express.sdc(32): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg_1[*] could not be matched with a register File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 32 Warning (332049): Ignored set_max_delay at altera_pci_express.sdc(32): Argument is an empty collection File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 32 Info (332050): set_max_delay -from [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|wys~pld_clk.reg}] -to [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg_1[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg_1[*] }] 10.000 File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 32 Warning (332174): Ignored filter at altera_pci_express.sdc(33): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_sc_bitsync_node:rx_polinv_dbg.dbg_rx_valid_altpcie_sc_bitsync_1|altpcie_sc_bitsync:altpcie_sc_bitsync|altpcie_sc_bitsync_meta_dff[0] could not be matched with a clock or keeper or register or port or pin or cell or partition File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 33 Warning (332049): Ignored set_false_path at altera_pci_express.sdc(33): Argument is not an object ID File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 33 Info (332050): set_false_path -from {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|wys~pld_clk.reg} -to {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_sc_bitsync_node:rx_polinv_dbg.dbg_rx_valid_altpcie_sc_bitsync_1|altpcie_sc_bitsync:altpcie_sc_bitsync|altpcie_sc_bitsync_meta_dff[0]} File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 33 Warning (332174): Ignored filter at altera_pci_express.sdc(34): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_sc_bitsync_node:rx_polinv_dbg.dbg_rx_valid_altpcie_sc_bitsync|altpcie_sc_bitsync:altpcie_sc_bitsync|altpcie_sc_bitsync_meta_dff[0] could not be matched with a clock or keeper or register or port or pin or cell or partition File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 34 Warning (332049): Ignored set_false_path at altera_pci_express.sdc(34): Argument is not an object ID File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 34 Info (332050): set_false_path -from {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|wys~pld_clk.reg} -to {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_sc_bitsync_node:rx_polinv_dbg.dbg_rx_valid_altpcie_sc_bitsync|altpcie_sc_bitsync:altpcie_sc_bitsync|altpcie_sc_bitsync_meta_dff[0]} File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 34 Info (332104): Reading SDC File: 'ip/acl_ddr_a10/acl_ddr_a10_uniphy_status_20nm/uniphy_status_20nm_141/synth/uniphy_status_20nm.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3a/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3b/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc' Info (332104): Reading SDC File: 'ip/board/board_kernel_interface/mem_org_mode_100/synth/mem_org_mode.sdc' Info (332104): Reading SDC File: 'ip/board/board_kernel_interface/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/board/board_kernel_interface/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_handshake_clock_crosser.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3b/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/board/board_reset_controller_pcie/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/flash/flash_clock_cross_flash/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc' Info (332104): Reading SDC File: 'top_post.sdc' Info (332110): Deriving PLL clocks Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_rx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[0]|rx_coreclkin} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_rx_pld_pcs_interface.inst_twentynm_hssi_rx_pld_pcs_interface|pld_rx_clk} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_rx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[1]|rx_coreclkin} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_rx_pld_pcs_interface.inst_twentynm_hssi_rx_pld_pcs_interface|pld_rx_clk} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_rx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[2]|rx_coreclkin} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_rx_pld_pcs_interface.inst_twentynm_hssi_rx_pld_pcs_interface|pld_rx_clk} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_rx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[3]|rx_coreclkin} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_rx_pld_pcs_interface.inst_twentynm_hssi_rx_pld_pcs_interface|pld_rx_clk} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_rx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[0]|rx_coreclkin} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_rx_pld_pcs_interface.inst_twentynm_hssi_rx_pld_pcs_interface|pld_rx_clk} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_rx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[1]|rx_coreclkin} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_rx_pld_pcs_interface.inst_twentynm_hssi_rx_pld_pcs_interface|pld_rx_clk} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_rx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[2]|rx_coreclkin} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_rx_pld_pcs_interface.inst_twentynm_hssi_rx_pld_pcs_interface|pld_rx_clk} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_rx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[3]|rx_coreclkin} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_rx_pld_pcs_interface.inst_twentynm_hssi_rx_pld_pcs_interface|pld_rx_clk} Info (332110): create_generated_clock -source {config_clk~input~io_48_lvds_tile/cdata_pb24_in[0]} -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[0]|avmmclk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_xcvr_avmm|avmm_atom_insts[0].twentynm_hssi_avmm_if_inst|avmmclk} Info (332110): create_generated_clock -source {config_clk~input~io_48_lvds_tile/cdata_pb24_in[0]} -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[1]|avmmclk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_xcvr_avmm|avmm_atom_insts[0].twentynm_hssi_avmm_if_inst|avmmclk} Info (332110): create_generated_clock -source {config_clk~input~io_48_lvds_tile/cdata_pb24_in[0]} -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[2]|avmmclk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_xcvr_avmm|avmm_atom_insts[0].twentynm_hssi_avmm_if_inst|avmmclk} Info (332110): create_generated_clock -source {config_clk~input~io_48_lvds_tile/cdata_pb24_in[0]} -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[3]|avmmclk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_xcvr_avmm|avmm_atom_insts[0].twentynm_hssi_avmm_if_inst|avmmclk} Info (332110): create_generated_clock -source {config_clk~input~io_48_lvds_tile/cdata_pb24_in[0]} -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[0]|avmmclk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_xcvr_avmm|avmm_atom_insts[0].twentynm_hssi_avmm_if_inst|avmmclk} Info (332110): create_generated_clock -source {config_clk~input~io_48_lvds_tile/cdata_pb24_in[0]} -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[1]|avmmclk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_xcvr_avmm|avmm_atom_insts[0].twentynm_hssi_avmm_if_inst|avmmclk} Info (332110): create_generated_clock -source {config_clk~input~io_48_lvds_tile/cdata_pb24_in[0]} -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[2]|avmmclk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_xcvr_avmm|avmm_atom_insts[0].twentynm_hssi_avmm_if_inst|avmmclk} Info (332110): create_generated_clock -source {config_clk~input~io_48_lvds_tile/cdata_pb24_in[0]} -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[3]|avmmclk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_xcvr_avmm|avmm_atom_insts[0].twentynm_hssi_avmm_if_inst|avmmclk} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|wys|pll_fixed_clk_central} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|wys~CORE_CLK_OUT} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|wys|core_clk_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|wys~CORE_CLK_OUTCLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|pcie|pld_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|wys|pld_clk} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -duty_cycle 50.00 -name {board_inst|pcie|hip_cmn_clk[0]} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pld_pcs_interface.inst_twentynm_hssi_common_pld_pcs_interface|hip_cmn_clk[0]} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 32 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[0]|tx_pma_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_out_bus[0]} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 33 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[0]|tx_pma_div_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_ser.inst_twentynm_hssi_pma_tx_ser|clk_divtx_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 32 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[1]|tx_pma_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_out_bus[0]} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 33 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[1]|tx_pma_div_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_ser.inst_twentynm_hssi_pma_tx_ser|clk_divtx_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 32 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[2]|tx_pma_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_out_bus[0]} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 33 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[2]|tx_pma_div_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_ser.inst_twentynm_hssi_pma_tx_ser|clk_divtx_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 32 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[3]|tx_pma_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_out_bus[0]} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 33 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[3]|tx_pma_div_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_ser.inst_twentynm_hssi_pma_tx_ser|clk_divtx_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 32 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[0]|tx_pma_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_out_bus[0]} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 33 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[0]|tx_pma_div_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_ser.inst_twentynm_hssi_pma_tx_ser|clk_divtx_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 32 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[1]|tx_pma_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_out_bus[0]} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 33 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[1]|tx_pma_div_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_ser.inst_twentynm_hssi_pma_tx_ser|clk_divtx_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 32 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[2]|tx_pma_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_out_bus[0]} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 33 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[2]|tx_pma_div_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_ser.inst_twentynm_hssi_pma_tx_ser|clk_divtx_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 32 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[3]|tx_pma_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_out_bus[0]} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_cgb.inst_twentynm_hssi_pma_tx_cgb|cpulse_x6_dn_bus[5]} -divide_by 33 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[3]|tx_pma_div_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_tx_ser.inst_twentynm_hssi_pma_tx_ser|clk_divtx_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[2]} -divide_by 4 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[0]|rx_pma_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[2]} -divide_by 33 -multiply_by 8 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[0]|rx_pma_div_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_tx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[0]|tx_coreclkin} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_tx_pld_pcs_interface.inst_twentynm_hssi_tx_pld_pcs_interface|pld_tx_clk} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[2]} -divide_by 4 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[1]|rx_pma_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[2]} -divide_by 33 -multiply_by 8 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[1]|rx_pma_div_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_tx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[1]|tx_coreclkin} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_tx_pld_pcs_interface.inst_twentynm_hssi_tx_pld_pcs_interface|pld_tx_clk} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -divide_by 4 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[2]|rx_pma_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -divide_by 33 -multiply_by 8 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[2]|rx_pma_div_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_tx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[2]|tx_coreclkin} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_tx_pld_pcs_interface.inst_twentynm_hssi_tx_pld_pcs_interface|pld_tx_clk} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -divide_by 4 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[3]|rx_pma_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -divide_by 33 -multiply_by 8 -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[3]|rx_pma_div_clk} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_tx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch0|g_xcvr_native_insts[3]|tx_coreclkin} {board_inst|sl2_ch0|sl2_ch0|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_tx_pld_pcs_interface.inst_twentynm_hssi_tx_pld_pcs_interface|pld_tx_clk} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -divide_by 4 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[0]|rx_pma_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -divide_by 33 -multiply_by 8 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[0]|rx_pma_div_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_tx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[0]|tx_coreclkin} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_tx_pld_pcs_interface.inst_twentynm_hssi_tx_pld_pcs_interface|pld_tx_clk} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -divide_by 4 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[1]|rx_pma_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -divide_by 33 -multiply_by 8 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[1]|rx_pma_div_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_tx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[1]|tx_coreclkin} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_tx_pld_pcs_interface.inst_twentynm_hssi_tx_pld_pcs_interface|pld_tx_clk} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -divide_by 4 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[2]|rx_pma_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -divide_by 33 -multiply_by 8 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[2]|rx_pma_div_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_tx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[2]|tx_coreclkin} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_tx_pld_pcs_interface.inst_twentynm_hssi_tx_pld_pcs_interface|pld_tx_clk} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -divide_by 4 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[3]|rx_pma_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -divide_by 33 -multiply_by 8 -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[3]|rx_pma_div_clk} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv_user} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|out_pld_pma_clkdiv_tx_user~CLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|sl2_ch1|g_xcvr_native_insts[3]|tx_coreclkin} {board_inst|sl2_ch1|sl2_ch1|u1|xcvr_native_a10_0|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_tx_pld_pcs_interface.inst_twentynm_hssi_tx_pld_pcs_interface|pld_tx_clk} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_refclk_select_inst|refclk} -multiply_by 25 -duty_cycle 50.00 -name {board_inst|pcie|tx_serial_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_inst|clk0} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_refclk_select_inst|refclk} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|pll_pcie_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_inst|hclk_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_hssi_pma_lc_refclk_select_mux_inst|lvpecl_in} -multiply_by 40 -duty_cycle 50.00 -name {board_inst|pcie|twentynm_atx_pll_inst~O_CLK0_8G} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_atx_pll_inst|clk0_8g} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pld_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|tx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|sl2_ch0|sl2_ch0|u0|xcvr_fpll_a10_0|fpll_refclk_select_inst|ref_iqclk[2]} -multiply_by 8 -duty_cycle 50.00 -name {board_inst|sl2_ch0|mcgb_serial_clk} {board_inst|sl2_ch0|sl2_ch0|u0|xcvr_fpll_a10_0|twentynm_hssi_pma_cgb_master_inst|cpulse_out_bus[5]} Info (332110): create_generated_clock -source {board_inst|sl2_ch1|sl2_ch1|u0|xcvr_fpll_a10_0|fpll_refclk_select_inst|refclk} -multiply_by 8 -duty_cycle 50.00 -name {board_inst|sl2_ch1|mcgb_serial_clk} {board_inst|sl2_ch1|sl2_ch1|u0|xcvr_fpll_a10_0|twentynm_hssi_pma_cgb_master_inst|cpulse_out_bus[5]} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_hssi_pma_cgb_master_inst|clk_fpll_b} -divide_by 16 -duty_cycle 50.00 -name {board_inst|pcie|tx_bonding_clocks[0]} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_hssi_pma_cgb_master_inst|cpulse_out_bus[0]} Info (332110): create_generated_clock -source {board_inst|flash|config_iopll|config_iopll|altera_iopll_i|twentynm_pll|iopll_inst|refclk[0]} -divide_by 24 -multiply_by 6 -duty_cycle 50.00 -name {board_inst|flash|config_iopll|config_iopll|outclk0} {board_inst|flash|config_iopll|config_iopll|altera_iopll_i|twentynm_pll|iopll_inst|outclk[0]} Info (332110): create_generated_clock -source {board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|refclk[0]} -divide_by 4 -multiply_by 6 -duty_cycle 50.00 -name {board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|outclk0} {board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|outclk[0]} Info (332110): create_generated_clock -source {board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|refclk[0]} -divide_by 2 -multiply_by 6 -duty_cycle 50.00 -name {board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|outclk1} {board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|outclk[1]} Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|pll_inst|pll_inst|loaden[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|pll_inst|pll_inst|lvds_clk[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|pll_inst|pll_inst|loaden[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|pll_inst|pll_inst|lvds_clk[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|pll_inst|pll_inst|outclk[3]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate|loaden[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate|lvds_clk[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|loaden[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|lvds_clk[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate|loaden[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate|lvds_clk[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|loaden[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|lvds_clk[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332151): Clock uncertainty is not calculated until you update the timing netlist. Warning (332174): Ignored filter at top_post.sdc(53): acl_hmcc_wrapper_inst|* could not be matched with a clock File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/top_post.sdc Line: 53 Warning (332174): Ignored filter at top_post.sdc(33): a10_internal_oscillator_clock0 could not be matched with a clock File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/top_post.sdc Line: 33 Warning (332054): Assignment set_clock_groups is accepted but has some problems at top_post.sdc(33): Argument -group with value a10_internal_oscillator_clock0 could not match any element of the following types: ( clk ) File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/top_post.sdc Line: 33 Info (332050): set_clock_groups -asynchronous \ -group { \ config_clk \ } -group { \ a10_internal_oscillator_clock0 \ } -group { \ altera_ts_clk \ } -group { \ ddr0_pll_ref_clk \ } -group { \ ddr1_pll_ref_clk \ } -group { \ qsfp0_refclk0 \ } -group { \ kernel_pll_refclk \ } -group [get_clocks { \ pcie_refclk \ board_inst|pcie|* \ }] -group [get_clocks { \ board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|* \ }] -group [get_clocks { \ acl_hmcc_wrapper_inst|* \ }] -group { \ altera_reserved_tck \ } -group [get_clocks { \ ddr0_mem_dqs[0]_IN \ ddr0_mem_dqs[1]_IN \ ddr0_mem_dqs[2]_IN \ ddr0_mem_dqs[3]_IN \ ddr0_mem_dqs[4]_IN \ ddr0_mem_dqs[5]_IN \ ddr0_mem_dqs[6]_IN \ ddr0_mem_dqs[7]_IN \ board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a* \ }] -group [get_clocks { \ ddr1_mem_dqs[0]_IN \ ddr1_mem_dqs[1]_IN \ ddr1_mem_dqs[2]_IN \ ddr1_mem_dqs[3]_IN \ ddr1_mem_dqs[4]_IN \ ddr1_mem_dqs[5]_IN \ ddr1_mem_dqs[6]_IN \ ddr1_mem_dqs[7]_IN \ board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b* \ }] -group { \ board_inst|sl2_ch0|g_xcvr_native_insts[0]|tx_pma_div_clk \ } -group { \ board_inst|sl2_ch0|g_xcvr_native_insts[0]|rx_pma_div_clk \ } -group { \ board_inst|sl2_ch1|g_xcvr_native_insts[0]|tx_pma_div_clk \ } -group { \ board_inst|sl2_ch1|g_xcvr_native_insts[0]|rx_pma_div_clk \ } File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/top_post.sdc Line: 33 Warning (332054): Assignment set_clock_groups is accepted but has some problems at top_post.sdc(33): Argument -group with value [get_clocks { acl_hmcc_wrapper_inst|* }] contains zero elements File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/top_post.sdc Line: 33 Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Critical Warning (332012): Synopsys Design Constraints File file not found: '/home/rdnode/intelFPGA_pro/17.0/ip/altera/sld/jtag/altera_jtag_wys_atom/default_jtag.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Info (332097): The following timing edges are non-unate. TimeQuest will assume pos-unate behavior for these edges in the clock network. Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|bufs_inst|gen_mem_ck.inst[0].b|cal_oct.obuf_bar from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|bufs_inst|gen_mem_ck.inst[0].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[0].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[1].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[2].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[3].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[4].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[5].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[6].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[7].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|bufs_inst|gen_mem_ck.inst[0].b|cal_oct.obuf_bar from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|bufs_inst|gen_mem_ck.inst[0].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[0].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[1].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[2].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[3].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[4].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[5].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[6].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[7].b|cal_oct.obuf from: oe to: o Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. Info (332152): The following assignments are ignored by the derive_clock_uncertainty command Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements Info (332111): Found 190 clocks Info (332111): Period Clock Name Info (332111): ======== ============ Info (332111): 50.000 altera_reserved_tck Info (332111): 1000.000 altera_ts_clk Info (332111): 3.750 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_core_usr_clk Info (332111): 1.875 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_phy_clk_0 Info (332111): 1.875 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_phy_clk_1 Info (332111): 1.875 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_phy_clk_2 Info (332111): 3.750 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_phy_clk_l_0 Info (332111): 3.750 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_phy_clk_l_1 Info (332111): 3.750 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_phy_clk_l_2 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_vco_clk Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_vco_clk_1 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_vco_clk_2 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_wf_clk_0 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_wf_clk_1 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_wf_clk_2 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_wf_clk_3 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_wf_clk_4 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_wf_clk_5 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_wf_clk_6 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_wf_clk_7 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_wf_clk_8 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_wf_clk_9 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3a_a10_core|ddr3a|acl_ddr_a10_core_ddr3a_wf_clk_10 Info (332111): 6.562 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_core_cal_slave_clk Info (332111): 3.750 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_core_usr_clk Info (332111): 1.875 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_phy_clk_0 Info (332111): 1.875 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_phy_clk_1 Info (332111): 1.875 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_phy_clk_2 Info (332111): 3.750 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_phy_clk_l_0 Info (332111): 3.750 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_phy_clk_l_1 Info (332111): 3.750 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_phy_clk_l_2 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_vco_clk Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_vco_clk_1 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_vco_clk_2 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_wf_clk_0 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_wf_clk_1 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_wf_clk_2 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_wf_clk_3 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_wf_clk_4 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_wf_clk_5 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_wf_clk_6 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_wf_clk_7 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_wf_clk_8 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_wf_clk_9 Info (332111): 0.937 board_inst|acl_ddr_a10|acl_ddr3b_a10_core|ddr3b|acl_ddr_a10_core_ddr3b_wf_clk_10 Info (332111): 40.000 board_inst|flash|config_iopll|config_iopll|outclk0 Info (332111): 2.500 board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|outclk0 Info (332111): 1.250 board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|outclk1 Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[0]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[0]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[0]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[0]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[0]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[0]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[1]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[1]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[1]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[1]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[1]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[1]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[2]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[2]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[2]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[2]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[2]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[2]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[3]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[3]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[3]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[3]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[3]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[3]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[4]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[4]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[4]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[4]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[4]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[4]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[5]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[5]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[5]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[5]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[5]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[5]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[6]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[6]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[6]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[6]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[6]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[6]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[7]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[7]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[7]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[7]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[7]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[7]|tx_clk Info (332111): 2.000 board_inst|pcie|hip_cmn_clk[0] Info (332111): 4.000 board_inst|pcie|pld_clk Info (332111): 2.000 board_inst|pcie|pll_pcie_clk Info (332111): 0.250 board_inst|pcie|twentynm_atx_pll_inst~O_CLK0_8G Info (332111): 6.400 board_inst|pcie|tx_bonding_clocks[0] Info (332111): 25.600 board_inst|pcie|tx_clkout Info (332111): 0.400 board_inst|pcie|tx_serial_clk Info (332111): 4.000 board_inst|pcie|wys~CORE_CLK_OUT Info (332111): 10.000 board_inst|sl2_ch0|g_xcvr_native_insts[0]|avmmclk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[0]|rx_coreclkin Info (332111): 6.206 board_inst|sl2_ch0|g_xcvr_native_insts[0]|rx_pma_clk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[0]|rx_pma_div_clk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[0]|tx_coreclkin Info (332111): 6.206 board_inst|sl2_ch0|g_xcvr_native_insts[0]|tx_pma_clk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[0]|tx_pma_div_clk Info (332111): 10.000 board_inst|sl2_ch0|g_xcvr_native_insts[1]|avmmclk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[1]|rx_coreclkin Info (332111): 6.206 board_inst|sl2_ch0|g_xcvr_native_insts[1]|rx_pma_clk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[1]|rx_pma_div_clk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[1]|tx_coreclkin Info (332111): 6.206 board_inst|sl2_ch0|g_xcvr_native_insts[1]|tx_pma_clk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[1]|tx_pma_div_clk Info (332111): 10.000 board_inst|sl2_ch0|g_xcvr_native_insts[2]|avmmclk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[2]|rx_coreclkin Info (332111): 6.206 board_inst|sl2_ch0|g_xcvr_native_insts[2]|rx_pma_clk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[2]|rx_pma_div_clk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[2]|tx_coreclkin Info (332111): 6.206 board_inst|sl2_ch0|g_xcvr_native_insts[2]|tx_pma_clk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[2]|tx_pma_div_clk Info (332111): 10.000 board_inst|sl2_ch0|g_xcvr_native_insts[3]|avmmclk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[3]|rx_coreclkin Info (332111): 6.206 board_inst|sl2_ch0|g_xcvr_native_insts[3]|rx_pma_clk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[3]|rx_pma_div_clk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[3]|tx_coreclkin Info (332111): 6.206 board_inst|sl2_ch0|g_xcvr_native_insts[3]|tx_pma_clk Info (332111): 6.400 board_inst|sl2_ch0|g_xcvr_native_insts[3]|tx_pma_div_clk Info (332111): 0.193 board_inst|sl2_ch0|mcgb_serial_clk Info (332111): 10.000 board_inst|sl2_ch1|g_xcvr_native_insts[0]|avmmclk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[0]|rx_coreclkin Info (332111): 6.206 board_inst|sl2_ch1|g_xcvr_native_insts[0]|rx_pma_clk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[0]|rx_pma_div_clk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[0]|tx_coreclkin Info (332111): 6.206 board_inst|sl2_ch1|g_xcvr_native_insts[0]|tx_pma_clk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[0]|tx_pma_div_clk Info (332111): 10.000 board_inst|sl2_ch1|g_xcvr_native_insts[1]|avmmclk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[1]|rx_coreclkin Info (332111): 6.206 board_inst|sl2_ch1|g_xcvr_native_insts[1]|rx_pma_clk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[1]|rx_pma_div_clk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[1]|tx_coreclkin Info (332111): 6.206 board_inst|sl2_ch1|g_xcvr_native_insts[1]|tx_pma_clk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[1]|tx_pma_div_clk Info (332111): 10.000 board_inst|sl2_ch1|g_xcvr_native_insts[2]|avmmclk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[2]|rx_coreclkin Info (332111): 6.206 board_inst|sl2_ch1|g_xcvr_native_insts[2]|rx_pma_clk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[2]|rx_pma_div_clk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[2]|tx_coreclkin Info (332111): 6.206 board_inst|sl2_ch1|g_xcvr_native_insts[2]|tx_pma_clk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[2]|tx_pma_div_clk Info (332111): 10.000 board_inst|sl2_ch1|g_xcvr_native_insts[3]|avmmclk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[3]|rx_coreclkin Info (332111): 6.206 board_inst|sl2_ch1|g_xcvr_native_insts[3]|rx_pma_clk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[3]|rx_pma_div_clk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[3]|tx_coreclkin Info (332111): 6.206 board_inst|sl2_ch1|g_xcvr_native_insts[3]|tx_pma_clk Info (332111): 6.400 board_inst|sl2_ch1|g_xcvr_native_insts[3]|tx_pma_div_clk Info (332111): 0.193 board_inst|sl2_ch1|mcgb_serial_clk Info (332111): 10.000 config_clk Info (332111): 0.937 ddr0_mem_ck[0] Info (332111): 0.937 ddr0_mem_ck_n[0] Info (332111): 0.937 ddr0_mem_dqs[0]_IN Info (332111): 0.937 ddr0_mem_dqs[1]_IN Info (332111): 0.937 ddr0_mem_dqs[2]_IN Info (332111): 0.937 ddr0_mem_dqs[3]_IN Info (332111): 0.937 ddr0_mem_dqs[4]_IN Info (332111): 0.937 ddr0_mem_dqs[5]_IN Info (332111): 0.937 ddr0_mem_dqs[6]_IN Info (332111): 0.937 ddr0_mem_dqs[7]_IN Info (332111): 3.750 ddr0_pll_ref_clk Info (332111): 0.937 ddr1_mem_ck[0] Info (332111): 0.937 ddr1_mem_ck_n[0] Info (332111): 0.937 ddr1_mem_dqs[0]_IN Info (332111): 0.937 ddr1_mem_dqs[1]_IN Info (332111): 0.937 ddr1_mem_dqs[2]_IN Info (332111): 0.937 ddr1_mem_dqs[3]_IN Info (332111): 0.937 ddr1_mem_dqs[4]_IN Info (332111): 0.937 ddr1_mem_dqs[5]_IN Info (332111): 0.937 ddr1_mem_dqs[6]_IN Info (332111): 0.937 ddr1_mem_dqs[7]_IN Info (332111): 3.750 ddr1_pll_ref_clk Info (332111): 3.750 kernel_pll_refclk Info (332111): 10.000 pcie_refclk Info (332111): 10.000 pr_clk_enable_dclk_reg2_user_clk Info (332111): 1.551 qsfp0_refclk0 Info (332111): 8.000 ~ALTERA_CLKUSR~ Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Info (11165): Fitter preparation operations ending: elapsed time is 00:07:11 Info (18252): The Fitter is using Physical Synthesis. Info (170189): Fitter placement preparation operations beginning Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Info (14951): The Fitter is using Advanced Physical Optimization. Info (170190): Fitter placement preparation operations ending: elapsed time is 00:04:42 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:33 Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Info (11888): Total time spent on timing analysis during Placement is 144.13 seconds. Info (170193): Fitter routing operations beginning Info (170239): Router is attempting to preserve 100.00 percent of routes from an earlier compilation, a user specified Routing Constraints File, or internal routing requirements. Info (170195): Router estimated average interconnect usage is 8% of the available device resources Info (170196): Router estimated peak interconnect usage is 55% of the available device resources in the region that extends from location X11_Y71 to location X22_Y81 Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Info (11888): Total time spent on timing analysis during Routing is 16.28 seconds. Info (16607): Fitter routing operations ending: elapsed time is 00:08:12 Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Info (11888): Total time spent on timing analysis during Post-Routing is 8.49 seconds. Info (16557): Fitter post-fit operations ending: elapsed time is 00:11:25 Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. Rebuilding resource usage tables Info: Quartus Prime Fitter was successful. 0 errors, 135 warnings Info: Peak virtual memory: 16729 megabytes Info: Processing ended: Thu Jun 21 18:11:26 2018 Info: Elapsed time: 00:44:56 Info: Total CPU time (on all processors): 01:52:13 Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 17.0.0 Build 290 04/26/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Thu Jun 21 18:11:30 2018 Info: Command: quartus_asm top -c base Info: Using INI file /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/quartus.ini Info (16677): Loading final database Info (16734): Loading "final" snapshot for partition "root_partition". Info (16734): Loading "final" snapshot for partition "auto_fab_0". Info (16734): Loading "final" snapshot for partition "kernel". Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[0]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[1]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[2]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[3]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[4]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[5]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_debugaccess~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem1_address[0]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem1_address[1]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem1_address[2]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem1_address[3]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem1_address[4]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem1_address[5]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem1_debugaccess~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Info (16678): Successfully loaded final database: elapsed time is 00:00:16 Warning (18890): The CRC error detection clock divisor has been changed to 2, because the previous divisor 1 is not supported by the current device. Warning (18890): The CRC error detection clock divisor has been changed to 2, because the previous divisor 1 is not supported by the current device. Warning (18890): The CRC error detection clock divisor has been changed to 2, because the previous divisor 1 is not supported by the current device. Info: Quartus Prime Assembler was successful. 0 errors, 17 warnings Info: Peak virtual memory: 10027 megabytes Info: Processing ended: Thu Jun 21 18:13:50 2018 Info: Elapsed time: 00:02:20 Info: Total CPU time (on all processors): 00:02:33 Info: ******************************************************************* Info: Running Quartus Prime Compiler Database Interface Info: Version 17.0.0 Build 290 04/26/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Thu Jun 21 18:13:52 2018 Info: Command: quartus_cdb top -c base --export_pr_static_block root_partition --snapshot final --file root_partition.qdb Info: Quartus(args): --exclude_pr_subblocks --project top -c base --block_name root_partition --snapshot final --file root_partition.qdb Info: Using INI file /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/quartus.ini Info: Running design::export_block root_partition -snapshot final -file root_partition.qdb -exclude_pr_subblocks Info (16677): Loading final database Info (16734): Loading "final" snapshot for partition "root_partition". Info (16734): Loading "final" snapshot for partition "auto_fab_0". Info (16734): Loading "final" snapshot for partition "kernel". Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[0]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[1]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[2]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[3]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[4]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[5]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_debugaccess~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem1_address[0]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem1_address[1]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem1_address[2]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem1_address[3]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem1_address[4]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem1_address[5]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem1_debugaccess~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Info (16678): Successfully loaded final database: elapsed time is 00:00:16 Info (23030): Evaluation of Tcl script /home/users/saman/17.0-pro/quartus/common/tcl/internal/qatm_export_block.tcl was successful Info: Quartus Prime Compiler Database Interface was successful. 0 errors, 14 warnings Info: Peak virtual memory: 2532 megabytes Info: Processing ended: Thu Jun 21 18:14:20 2018 Info: Elapsed time: 00:00:28 Info: Total CPU time (on all processors): 00:00:28 Info: ******************************************************************* Info: Running Quartus Prime Shell Info: Version 17.0.0 Build 290 04/26/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Thu Jun 21 18:14:22 2018 Info: Command: quartus_sh --archive -input tmp_list_base_bak -output base_bak.qar Info: Quartus(args): -qar -input tmp_list_base_bak -output base_bak.qar Info: Using INI file /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/quartus.ini Info: qar.tcl version #3 Info: Archive will store files relative to the closest common parent directory Info (13213): Using common directory /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ Info: ---------------------------------------------------------- Info: ---------------------------------------------------------- Info: Generated archive 'base_bak.qar' Info: ---------------------------------------------------------- Info: ---------------------------------------------------------- Info (23030): Evaluation of Tcl script /home/users/saman/17.0-pro/quartus/common/tcl/apps/qpm/qar.tcl was successful Info: Quartus Prime Shell was successful. 0 errors, 0 warnings Info: Peak virtual memory: 1182 megabytes Info: Processing ended: Thu Jun 21 18:14:24 2018 Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:02 Info: ******************************************************************* Info: Running Quartus Prime Shell Info: Version 17.0.0 Build 290 04/26/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Thu Jun 21 18:14:24 2018 Info: Command: quartus_sh --archive -input bak_list.txt -output qdb.qar Info: Quartus(args): -qar -input bak_list.txt -output qdb.qar Info: Using INI file /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/quartus.ini Info: qar.tcl version #3 Info: Archive will store files relative to the closest common parent directory Info (13213): Using common directory /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/qdb/ Info: ---------------------------------------------------------- Info: ---------------------------------------------------------- Info: Generated archive 'qdb.qar' Info: ---------------------------------------------------------- Info: ---------------------------------------------------------- Info (23030): Evaluation of Tcl script /home/users/saman/17.0-pro/quartus/common/tcl/apps/qpm/qar.tcl was successful Info: Quartus Prime Shell was successful. 0 errors, 0 warnings Info: Peak virtual memory: 1182 megabytes Info: Processing ended: Thu Jun 21 18:14:32 2018 Info: Elapsed time: 00:00:08 Info: Total CPU time (on all processors): 00:00:07 Info (125061): Changed top-level design entity name to "top" Info (125061): Changed top-level design entity name to "kernel_system" Info: ******************************************************************* Info: Running Quartus Prime Synthesis Info: Version 17.0.0 Build 290 04/26/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Thu Jun 21 18:14:33 2018 Info: Command: quartus_syn top -c top_synth Info: Using INI file /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/quartus.ini Info: qis_default_flow_script.tcl version: #1 Info: Initializing Synthesis... Info: Project = "top" Info: Revision = "top_synth" Info: Analyzing source files Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_reorder_const_cra_cra_ring.ip - no such file exists Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_mem_writestream_cra_cra_ring.ip - no such file exists Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_sch_loopback0_cra_cra_ring.ip - no such file exists Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_sch_loopback1_cra_cra_ring.ip - no such file exists Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_mem1/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_mem_read_writestream_cra_cra_ring.ip - no such file exists Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_kernel_sender_cra_cra_ring.ip - no such file exists Warning (16124): Can't analyze file ip/kernel_system/kernel_system_boardtest_sch_system.ip - no such file exists Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_mem0/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_kernel_receiver_cra_cra_ring.ip - no such file exists Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_nop_cra_cra_ring.ip - no such file exists Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_mem_readstream_cra_cra_ring.ip - no such file exists Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_reset_tx/altera_xcvr_reset_control_170/synth/altera_xcvr_functions.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_reset_rx/altera_xcvr_reset_control_170/synth/altera_xcvr_functions.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_reset_tx/altera_xcvr_reset_control_170/synth/alt_xcvr_resync.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_reset_rx/altera_xcvr_reset_control_170/synth/alt_xcvr_resync.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_reset_tx/altera_xcvr_reset_control_170/synth/altera_xcvr_reset_control.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_reset_rx/altera_xcvr_reset_control_170/synth/altera_xcvr_reset_control.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_reset_tx/altera_xcvr_reset_control_170/synth/alt_xcvr_reset_counter.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_reset_rx/altera_xcvr_reset_control_170/synth/alt_xcvr_reset_counter.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_reset_tx/altera_xcvr_reset_control_170/synth/plain_files.txt" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_reset_rx/altera_xcvr_reset_control_170/synth/plain_files.txt" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr_a10/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr_a10/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr_a10/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr_a10/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr_a10/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr_a10/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr_a10/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr_a10/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr_a10/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr_a10/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3b_a10_core/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3b_a10_core/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3b_a10_core/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3b_a10_core/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3b_a10_core/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3b_a10_core/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3b_a10_core/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3b_a10_core/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3b_a10_core/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3b_a10_core/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/flash/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/flash/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/flash/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/flash/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/flash/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/flash/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/flash/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/flash/altera_merlin_traffic_limiter_170/synth/altera_merlin_traffic_limiter.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_traffic_limiter_170/synth/altera_merlin_traffic_limiter.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/flash/altera_merlin_traffic_limiter_170/synth/altera_merlin_reorder_memory.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_traffic_limiter_170/synth/altera_merlin_reorder_memory.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/flash/altera_merlin_traffic_limiter_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_traffic_limiter_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/flash/altera_merlin_traffic_limiter_170/synth/altera_avalon_st_pipeline_base.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_traffic_limiter_170/synth/altera_avalon_st_pipeline_base.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/flash/altera_merlin_width_adapter_170/synth/altera_merlin_width_adapter.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_width_adapter_170/synth/altera_merlin_width_adapter.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/flash/altera_merlin_width_adapter_170/synth/altera_merlin_address_alignment.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_width_adapter_170/synth/altera_merlin_address_alignment.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/flash/altera_merlin_width_adapter_170/synth/altera_merlin_burst_uncompressor.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_width_adapter_170/synth/altera_merlin_burst_uncompressor.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3a_a10_core/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3a_a10_core/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3a_a10_core/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3a_a10_core/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3a_a10_core/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3a_a10_core/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3a_a10_core/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3a_a10_core/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3a_a10_core/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/acl_ddr3a_a10_core/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_bufs.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_bufs.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_udir_se_i.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_udir_se_i.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_udir_se_o.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_udir_se_o.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_udir_df_i.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_udir_df_i.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_udir_df_o.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_udir_df_o.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_udir_cp_i.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_udir_cp_i.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_bdir_df.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_bdir_df.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_bdir_se.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_bdir_se.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_unused.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_buf_unused.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_cal_counter.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_cal_counter.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_pll.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_pll.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_pll_fast_sim.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_pll_fast_sim.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_pll_extra_clks.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_pll_extra_clks.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_oct.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_oct.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_core_clks_rsts.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_core_clks_rsts.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_hps_clks_rsts.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_hps_clks_rsts.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_io_tiles_wrap.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_io_tiles_wrap.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_io_tiles.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_io_tiles.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_io_tiles_abphy.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_io_tiles_abphy.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_abphy_mux.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_abphy_mux.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_hmc_avl_if.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_hmc_avl_if.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_hmc_sideband_if.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_hmc_sideband_if.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_hmc_mmr_if.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_hmc_mmr_if.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_hmc_amm_data_if.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_hmc_amm_data_if.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_hmc_ast_data_if.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_hmc_ast_data_if.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_afi_if.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_afi_if.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_seq_if.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_seq_if.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_regs.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_emif_arch_nf_regs.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_oct.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_oct.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_oct_um_fsm.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_oct_um_fsm.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_emif_arch_nf_170/synth/altera_std_synchronizer_nocut.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_emif_arch_nf_170/synth/altera_std_synchronizer_nocut.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_avalon_onchip_memory2_170/synth/seq_cal_soft_m20k.hex" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_ddr3a/altera_avalon_onchip_memory2_170/synth/seq_cal_soft_m20k.hex" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_ddr3b/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3a_a10_core/acl_ddr_a10_core_pipe_stage_ddr3a_dimm_post_final/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_pipe_stage_ddr3b_dimm/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_merlin_traffic_limiter_170/synth/altera_merlin_traffic_limiter.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_traffic_limiter_170/synth/altera_merlin_traffic_limiter.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_merlin_traffic_limiter_170/synth/altera_merlin_reorder_memory.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_traffic_limiter_170/synth/altera_merlin_reorder_memory.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_merlin_traffic_limiter_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_traffic_limiter_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_merlin_traffic_limiter_170/synth/altera_avalon_st_pipeline_base.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_traffic_limiter_170/synth/altera_avalon_st_pipeline_base.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_handshake_clock_crosser.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_handshake_clock_crosser.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_clock_crosser.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_clock_crosser.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_pipeline_base.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_pipeline_base.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_avalon_st_handshake_clock_crosser_170/synth/altera_std_synchronizer_nocut.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_avalon_st_handshake_clock_crosser_170/synth/altera_std_synchronizer_nocut.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pipe_stage_pcie_to_memwindow_mem/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr3b_a10_core/acl_ddr_a10_core_pipe_stage_ddr3b_dimm_post_final/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pipe_stage_pcie_to_mem_bank_div/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pipe_stage_dma_rdwr_master/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_reset_controller_global/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_reset_controller_global/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_reset_controller_global/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_kernel_to_ddr3b/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_mm_clock_crossing_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_mm_clock_crossing_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_kernel_to_ddr3b/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_kernel_to_ddr3b/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_dcfifo_synchronizer_bundle.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_dcfifo_synchronizer_bundle.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_kernel_to_ddr3b/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_std_synchronizer_nocut.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_std_synchronizer_nocut.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_kernel_to_ddr3b/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_pipe_stage_ddr3b_dimm_post_0/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pipe_stage_host_ctrl/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_sw_reset/sw_reset_100/synth/sw_reset.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/sw_reset_100/synth/sw_reset.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pipe_stage_dma_rd_master/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_por_reset_counter/sw_reset_100/synth/sw_reset.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/sw_reset_100/synth/sw_reset.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_pipe_stage_ddr3a_dimm_post_0/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pipe_stage_dma_rdwr_master_512/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pipe_stage_host_sch/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_mm_clock_crossing_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_mm_clock_crossing_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pipe_stage_host_sch/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pipe_stage_host_sch/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_dcfifo_synchronizer_bundle.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_dcfifo_synchronizer_bundle.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pipe_stage_host_sch/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_std_synchronizer_nocut.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_std_synchronizer_nocut.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pipe_stage_host_sch/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3a_pipe/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3a_pipe/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3a_pipe/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_pipe_stage_ddr3b_dimm_post_3/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_ddr3a_bridge/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_kernel_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_mm_clock_crossing_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_mm_clock_crossing_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_kernel_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_kernel_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_dcfifo_synchronizer_bundle.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_dcfifo_synchronizer_bundle.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_kernel_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_std_synchronizer_nocut.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_std_synchronizer_nocut.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_kernel_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_memory_bank_divider/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_memory_bank_divider/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_memory_bank_divider/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_memory_bank_divider/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_memory_bank_divider/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_memory_bank_divider/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_reset_controller/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_reset_controller/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_reset_controller/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3b_pipe/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3b_pipe/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3b_pipe/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/alt_xcvr_resync.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/alt_xcvr_resync.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/alt_xcvr_arbiter.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/alt_xcvr_arbiter.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/twentynm_pcs.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/twentynm_pcs.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/twentynm_pma.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/twentynm_pma.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/twentynm_xcvr_avmm.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/twentynm_xcvr_avmm.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/twentynm_xcvr_native.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/twentynm_xcvr_native.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/altera_xcvr_native_a10_functions_h.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/altera_xcvr_native_a10_functions_h.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/a10_avmm_h.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/a10_avmm_h.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/alt_xcvr_native_pipe_retry.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/alt_xcvr_native_pipe_retry.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/alt_xcvr_native_avmm_csr.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/alt_xcvr_native_avmm_csr.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/alt_xcvr_native_prbs_accum.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/alt_xcvr_native_prbs_accum.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/alt_xcvr_native_odi_accel.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/alt_xcvr_native_odi_accel.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/alt_xcvr_native_rcfg_arb.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/alt_xcvr_native_rcfg_arb.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/altera_xcvr_native_a10_false_paths.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/altera_xcvr_native_a10_false_paths.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/altera_xcvr_native_pcie_dfe_params_h.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/altera_xcvr_native_pcie_dfe_params_h.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/pcie_mgmt_commands_h.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/pcie_mgmt_commands_h.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/pcie_mgmt_functions_h.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/pcie_mgmt_functions_h.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/pcie_mgmt_program.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/pcie_mgmt_program.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/pcie_mgmt_cpu.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/pcie_mgmt_cpu.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/pcie_mgmt_master.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/pcie_mgmt_master.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/altera_xcvr_native_pcie_dfe_ip.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/altera_xcvr_native_pcie_dfe_ip.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/plain_files.txt" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_sl2_txrx/altera_xcvr_native_a10_170/synth/plain_files.txt" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_fpll_a10_170/synth/twentynm_xcvr_avmm.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_pll/altera_xcvr_fpll_a10_170/synth/twentynm_xcvr_avmm.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_fpll_a10_170/synth/alt_xcvr_resync.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_pll/altera_xcvr_fpll_a10_170/synth/alt_xcvr_resync.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_fpll_a10_170/synth/altera_xcvr_fpll_a10.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_pll/altera_xcvr_fpll_a10_170/synth/altera_xcvr_fpll_a10.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_fpll_a10_170/synth/a10_avmm_h.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_pll/altera_xcvr_fpll_a10_170/synth/a10_avmm_h.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_fpll_a10_170/synth/alt_xcvr_native_avmm_nf.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_pll/altera_xcvr_fpll_a10_170/synth/alt_xcvr_native_avmm_nf.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_fpll_a10_170/synth/alt_xcvr_pll_embedded_debug.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_pll/altera_xcvr_fpll_a10_170/synth/alt_xcvr_pll_embedded_debug.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_fpll_a10_170/synth/alt_xcvr_pll_avmm_csr.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_pll/altera_xcvr_fpll_a10_170/synth/alt_xcvr_pll_avmm_csr.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_xcvr_fpll_a10_170/synth/plain_files.txt" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/a10_sl2_txrx/xcvr_pll/altera_xcvr_fpll_a10_170/synth/plain_files.txt" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pr_base_id/version_id_100/synth/version_id.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/version_id_100/synth/version_id.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_pipe_stage_ddr3a_dimm/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_pipe_stage_ddr3a_dimm_post_1/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_pipe_stage_ddr3b_dimm_post_2/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pipe_stage_pcie_to_memwindow_ctrl/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_flash_address_span_extender/altera_address_span_extender_170/synth/altera_address_span_extender.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_memwindow/altera_address_span_extender_170/synth/altera_address_span_extender.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3a/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3a/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3a/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3b/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_mm_clock_crossing_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_mm_clock_crossing_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3b/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3b/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_dcfifo_synchronizer_bundle.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_dcfifo_synchronizer_bundle.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3b/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_std_synchronizer_nocut.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_std_synchronizer_nocut.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3b/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_sw_reset/sw_reset_100/synth/sw_reset.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/sw_reset_100/synth/sw_reset.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_ddr3b_bridge/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_version_id/version_id_100/synth/version_id.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/version_id_100/synth/version_id.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_address_span_extender_170/synth/altera_address_span_extender.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_memwindow/altera_address_span_extender_170/synth/altera_address_span_extender.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/sw_reset_100/synth/sw_reset.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/sw_reset_100/synth/sw_reset.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_irq_bridge_170/synth/altera_irq_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_irq/altera_irq_bridge_170/synth/altera_irq_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/version_id_100/synth/version_id.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_clk_gen/version_id_100/synth/version_id.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_handshake_clock_crosser.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_handshake_clock_crosser.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_clock_crosser.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_clock_crosser.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_pipeline_base.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_pipeline_base.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_avalon_st_handshake_clock_crosser_170/synth/altera_std_synchronizer_nocut.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_avalon_st_handshake_clock_crosser_170/synth/altera_std_synchronizer_nocut.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_merlin_traffic_limiter_170/synth/altera_merlin_traffic_limiter.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_traffic_limiter_170/synth/altera_merlin_traffic_limiter.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_merlin_traffic_limiter_170/synth/altera_merlin_reorder_memory.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_traffic_limiter_170/synth/altera_merlin_reorder_memory.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_merlin_traffic_limiter_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_traffic_limiter_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_merlin_traffic_limiter_170/synth/altera_avalon_st_pipeline_base.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_traffic_limiter_170/synth/altera_avalon_st_pipeline_base.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_merlin_width_adapter_170/synth/altera_merlin_width_adapter.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_width_adapter_170/synth/altera_merlin_width_adapter.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_merlin_width_adapter_170/synth/altera_merlin_address_alignment.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_width_adapter_170/synth/altera_merlin_address_alignment.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_kernel_interface/altera_merlin_width_adapter_170/synth/altera_merlin_burst_uncompressor.sv" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/board/altera_merlin_width_adapter_170/synth/altera_merlin_burst_uncompressor.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_i2c_prom_tmp/i2c_opencores_120/synth/i2c_opencores.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_i2c_ucd/i2c_opencores_120/synth/i2c_opencores.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_i2c_prom_tmp/i2c_opencores_120/synth/i2c_master_top.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_i2c_ucd/i2c_opencores_120/synth/i2c_master_top.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_i2c_prom_tmp/i2c_opencores_120/synth/i2c_master_defines.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_i2c_ucd/i2c_opencores_120/synth/i2c_master_defines.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_i2c_prom_tmp/i2c_opencores_120/synth/i2c_master_byte_ctrl.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_i2c_ucd/i2c_opencores_120/synth/i2c_master_byte_ctrl.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_i2c_prom_tmp/i2c_opencores_120/synth/i2c_master_bit_ctrl.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_i2c_ucd/i2c_opencores_120/synth/i2c_master_bit_ctrl.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3b/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3b/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_reset_controller_ddr3b/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_pipe_stage_ddr3b_dimm_post_1/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch1/A10_SuperLite_II_txrx_10/synth/scrambler.vhd" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/scrambler.vhd" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch1/A10_SuperLite_II_txrx_10/synth/encoder_64b66b.vhd" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/encoder_64b66b.vhd" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch1/A10_SuperLite_II_txrx_10/synth/reset_synchro.vhd" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/reset_synchro.vhd" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch1/A10_SuperLite_II_txrx_10/synth/synchro.vhd" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/synchro.vhd" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch1/A10_SuperLite_II_txrx_10/synth/tx_serial_chan_if.vhd" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/tx_serial_chan_if.vhd" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch1/A10_SuperLite_II_txrx_10/synth/descrambler.vhd" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/descrambler.vhd" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch1/A10_SuperLite_II_txrx_10/synth/decoder_66b64b.vhd" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/decoder_66b64b.vhd" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch1/A10_SuperLite_II_txrx_10/synth/rx_path_deskew.vhd" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/rx_path_deskew.vhd" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch1/A10_SuperLite_II_txrx_10/synth/general_fifo.vhd" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/general_fifo.vhd" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch1/A10_SuperLite_II_txrx_10/synth/a10_sl2_txrx.vhd" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/a10_sl2_txrx.vhd" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_reset_controller_pcie/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_reset_controller_pcie/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_reset_controller_pcie/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_clock_cross_flash/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_mm_clock_crossing_bridge.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_mm_clock_crossing_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_clock_cross_flash/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_clock_cross_flash/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_dcfifo_synchronizer_bundle.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_dcfifo_synchronizer_bundle.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_clock_cross_flash/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_std_synchronizer_nocut.v" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_std_synchronizer_nocut.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_clock_cross_flash/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc" is a duplicate of already analyzed file "/mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/acl_ddr_a10/acl_ddr_a10_clock_cross_pcie_to_ddr3a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (16884): Verilog HDL info at i2c_opencores.v(7): analyzing included file ip/flash/flash_i2c_ucd/i2c_opencores_120/synth/i2c_master_defines.v File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_i2c_ucd/i2c_opencores_120/synth/i2c_opencores.v Line: 7 Info (16884): Verilog HDL info at i2c_master_top.v(73): analyzing included file ip/flash/flash_i2c_ucd/i2c_opencores_120/synth/i2c_master_defines.v File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_i2c_ucd/i2c_opencores_120/synth/i2c_master_top.v Line: 73 Info (16884): Verilog HDL info at i2c_master_byte_ctrl.v(73): analyzing included file ip/flash/flash_i2c_ucd/i2c_opencores_120/synth/i2c_master_defines.v File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_i2c_ucd/i2c_opencores_120/synth/i2c_master_byte_ctrl.v Line: 73 Info (16884): Verilog HDL info at i2c_master_bit_ctrl.v(127): analyzing included file ip/flash/flash_i2c_ucd/i2c_opencores_120/synth/i2c_master_defines.v File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/flash/flash_i2c_ucd/i2c_opencores_120/synth/i2c_master_bit_ctrl.v Line: 127 Warning (13468): Verilog HDL Expression warning at altpcieav128_dma_wr_wdalign.sv(317): truncated literal to match 7 bits File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altpcieav128_dma_wr_wdalign.sv Line: 317 Warning (16792): VHDL Association List warning at a10_sl2_txrx.vhd(535): formal rx_pma_div_clkout that is associated individually cannot be associated with actual of OPEN File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/a10_sl2_txrx.vhd Line: 535 Warning (16792): VHDL Association List warning at a10_sl2_txrx.vhd(536): formal rx_pma_div_clkout that is associated individually cannot be associated with actual of OPEN File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/a10_sl2_txrx.vhd Line: 536 Warning (16792): VHDL Association List warning at a10_sl2_txrx.vhd(537): formal rx_pma_div_clkout that is associated individually cannot be associated with actual of OPEN File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/a10_sl2_txrx.vhd Line: 537 Warning (16792): VHDL Association List warning at a10_sl2_txrx.vhd(553): formal tx_pma_div_clkout that is associated individually cannot be associated with actual of OPEN File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/a10_sl2_txrx.vhd Line: 553 Warning (16792): VHDL Association List warning at a10_sl2_txrx.vhd(554): formal tx_pma_div_clkout that is associated individually cannot be associated with actual of OPEN File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/a10_sl2_txrx.vhd Line: 554 Warning (16792): VHDL Association List warning at a10_sl2_txrx.vhd(555): formal tx_pma_div_clkout that is associated individually cannot be associated with actual of OPEN File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/board/board_sl2_ch0/A10_SuperLite_II_txrx_10/synth/a10_sl2_txrx.vhd Line: 555 Warning (16761): VHDL warning at sld_mod_ram_rom.vhd(477): actual for formal port "ena" is neither a static name nor a globally static expression File: /home/users/saman/17.0-pro/quartus/libraries/megafunctions/sld_mod_ram_rom.vhd Line: 477 Warning (16761): VHDL warning at sld_mod_ram_rom.vhd(506): actual for formal port "ena" is neither a static name nor a globally static expression File: /home/users/saman/17.0-pro/quartus/libraries/megafunctions/sld_mod_ram_rom.vhd Line: 506 Warning (16761): VHDL warning at sld_mod_ram_rom.vhd(887): actual for formal port "ena" is neither a static name nor a globally static expression File: /home/users/saman/17.0-pro/quartus/libraries/megafunctions/sld_mod_ram_rom.vhd Line: 887 Warning (16761): VHDL warning at sld_mod_ram_rom.vhd(916): actual for formal port "ena" is neither a static name nor a globally static expression File: /home/users/saman/17.0-pro/quartus/libraries/megafunctions/sld_mod_ram_rom.vhd Line: 916 Warning (16761): VHDL warning at altsource_probe_body.vhd(767): actual for formal port "ena" is neither a static name nor a globally static expression File: /home/users/saman/17.0-pro/quartus/libraries/megafunctions/altsource_probe_body.vhd Line: 767 Warning (16761): VHDL warning at altsource_probe_body.vhd(787): actual for formal port "ena" is neither a static name nor a globally static expression File: /home/users/saman/17.0-pro/quartus/libraries/megafunctions/altsource_probe_body.vhd Line: 787 Info: Elaborating from top-level entity "kernel_system" Info (18235): Library search order is as follows: "altera_merlin_master_translator_170; altera_merlin_slave_translator_170; altera_mm_interconnect_170; altera_irq_mapper_170; altera_reset_controller_170; kernel_system; kernel_system_reset; altera_avalon_mm_bridge_170; kernel_system_kernel_cra; cra_ring_root_10; kernel_system_cra_root; channel_adapter_170; altera_avalon_st_adapter_170; kernel_system_kernel_input_ch1; kernel_system_kernel_output_ch1; cra_ring_rom_10; kernel_system_cra_ring_rom; kernel_system_kernel_mem1; altera_irq_bridge_170; kernel_system_kernel_irq; acl_rom_module_10; kernel_system_sys_description_rom; kernel_system_clk_2x; kernel_system_kernel_mem0; kernel_system_clk_snoop; kernel_system_acl_internal_snoop; kernel_system_kernel_input_ch0; kernel_system_kernel_output_ch0; kernel_system_clk_1x; altera_merlin_master_agent_170; altera_merlin_slave_agent_170; altera_avalon_sc_fifo_170; altera_merlin_router_170; altera_merlin_demultiplexer_170; altera_merlin_multiplexer_170; error_adapter_170; altera_merlin_traffic_limiter_170; altera_merlin_width_adapter_170; altera_avalon_st_handshake_clock_crosser_170; altera_merlin_burst_adapter_170; altera_irq_clock_crosser_170; board; altera_xcvr_fpll_a10_170; xcvr_pll; altera_xcvr_reset_control_170; xcvr_reset_rx; xcvr_reset_tx; altera_xcvr_native_a10_170; xcvr_sl2_txrx; acl_ddr_a10; acl_ddr3b_a10_core; flash; acl_ddr3a_a10_core; altera_avalon_mm_clock_crossing_bridge_170; acl_ddr_a10_clock_cross_pcie_to_ddr3a; altera_emif_arch_nf_170; altera_avalon_onchip_memory2_170; altera_emif_cal_slave_nf_170; altera_emif_170; acl_ddr_a10_core_ddr3a; acl_ddr_a10_core_ddr3b; board_a10_status_leds_0; acl_ddr_a10_core_pipe_stage_ddr3a_dimm_post_final; acl_ddr_a10_pipe_stage_ddr3b_dimm; flash_ucd_csr; acl_ddr_a10_core_reset_bridge_ddr3a; flash_config_clk_bridge; acl_timer_100; altera_iopll_170; pll_lock_avs_100; altera_pll_reconfig_170; sw_reset_100; version_id_100; acl_kernel_clk_a10_161; board_kernel_clk_gen; board_pipe_stage_pcie_to_memwindow_mem; acl_ddr_a10_core_pipe_stage_ddr3b_dimm_post_final; board_pipe_stage_pcie_to_mem_bank_div; board_pipe_stage_dma_rdwr_master; board_reset_controller_global; nalla_locked_to_reset_11; flash_pll_locked_to_reset; altera_address_span_extender_170; board_memwindow; acl_ddr_a10_core_clock_bridge_ddr3a; acl_ddr_a10_clock_cross_kernel_to_ddr3b; acl_ddr_a10_core_clock_bridge_ddr3b; flash_pcie_clk; acl_ddr_a10_pipe_stage_ddr3b_dimm_post_0; board_pipe_stage_host_ctrl; acl_ddr_a10_sw_reset; cade_id_10; board_cade_id; board_kernel_clk; board_config_clk; board_pipe_stage_dma_rd_master; acl_ddr_a10_global_reset_in; board_por_reset_counter; acl_ddr_a10_pipe_stage_ddr3a_dimm_post_0; acl_temperature_a10_151; board_temperature; board_pipe_stage_dma_rdwr_master_512; board_pipe_stage_host_sch; acl_ddr_a10_reset_controller_ddr3a_pipe; acl_ddr_a10_pipe_stage_ddr3b_dimm_post_3; i2c_opencores_120; flash_i2c_ucd; board_npor_export; board_kernel_ddr3a_bridge; flash_config_iopll; altera_remote_update_core_170; altera_avalon_remote_update_controller_170; altera_remote_update_170; flash_flash_remote_update; acl_ddr_a10_clock_cross_kernel_to_ddr3a; acl_snoop_adapter_110; mem_splitter_100; acl_memory_bank_divider_10; board_memory_bank_divider; board_pcie_refclk; alt_pr_170; board_alt_pr; flash_reset_controller; acl_ddr_a10_reset_controller_ddr3b_pipe; altera_pcie_a10_hip_170; altera_xcvr_atx_pll_a10_170; board_pcie; board_pr_base_id; acl_ddr_a10_pipe_stage_ddr3a_dimm; altera_asmi_parallel_170; altera_epcq_controller_200; altera_epcq_controller_core_200; flash_epcq_controller; acl_ddr_a10_pipe_stage_ddr3a_dimm_post_1; uniphy_status_20nm_141; acl_ddr_a10_uniphy_status_20nm; board_sl2_ch0; acl_ddr_a10_core_reset_bridge_dd3b; acl_ddr_a10_pipe_stage_ddr3b_dimm_post_2; board_pipe_stage_pcie_to_memwindow_ctrl; acl_ddr_a10_pcie_clk_in; flash_pcie_reset_bridge; flash_flash_address_span_extender; acl_ddr_a10_reset_controller_ddr3a; acl_ddr_a10_clock_cross_pcie_to_ddr3b; flash_sw_reset; acl_ddr_a10_kernel_clk_in; board_kernel_ddr3b_bridge; board_version_id; global_routing_reset_100; mem_org_mode_100; kernel_interface_151; board_kernel_interface; board_global_reset_in; altera_in_system_sources_probes_170; board_in_system_sources_probes_cade_id; flash_i2c_prom_tmp; acl_ddr_a10_reset_controller_ddr3b; acl_ddr_a10_pipe_stage_ddr3b_dimm_post_1; flash_por_bridge; pcie_irq_10; board_pcie_irq_0; board_sl2_ch1; board_reset_controller_pcie; flash_clock_cross_flash; cra_ring_node_10; kernel_system_avs_hello_world_cra_cra_ring; hello_world_system_140; kernel_system_hello_world_system; a10_status_leds_10; pwr_controlstatus_10; a10_superlite_ii_txrx_10". Quartus will look for undefined design units in your libraries in that order. To modify the ordering, please specify a semi-colon separated library list using the assignment LIBRARY_SEARCH_ORDER. Warning (13469): Verilog HDL assignment warning at acl_multistage_accumulator.v(184): truncated value with size 115 to match size of target (96) File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/acl_multistage_accumulator.v Line: 184 Warning (13469): Verilog HDL assignment warning at acl_multistage_accumulator.v(184): truncated value with size 115 to match size of target (96) File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/acl_multistage_accumulator.v Line: 184 Info (16821): Verilog HDL info at hello_world_system.v(226): going to vhdl side to elaborate module hello_world_function_cra_slave File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/hello_world_system.v Line: 226 Info (16822): Verilog HDL info at hello_world_system.v(226): back to verilog to continue elaboration File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/hello_world_system.v Line: 226 Warning (16735): Verilog HDL warning at acl_work_item_iterator.v(217): actual bit length 1 differs from formal bit length 32 for port "Q" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/acl_work_item_iterator.v Line: 217 Warning (13469): Verilog HDL assignment warning at acl_multistage_adder.v(140): truncated value with size 19 to match size of target (14) File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/acl_multistage_adder.v Line: 140 Info (16821): Verilog HDL info at hello_world_system.v(977): going to vhdl side to elaborate module hello_world_function_wrapper File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/hello_world_system.v Line: 977 Info (17560): VHDL info at i_printf_printf_addr2.vhd(174): going to verilog side to elaborate module acl_printf_buffer_address_generator File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/i_printf_printf_addr2.vhd Line: 174 Info (17561): VHDL info at i_printf_printf_addr2.vhd(174): back to vhdl to continue elaboration File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/i_printf_printf_addr2.vhd Line: 174 Warning (13228): Verilog HDL or VHDL warning at acl_printf_buffer_address_generator.v(24): formal port enable has no actual or default value File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/acl_printf_buffer_address_generator.v Line: 24 Info (17560): VHDL info at i_syncbuf_thread_id_from_which_to_print_message_sync_buffer0.vhd(84): going to verilog side to elaborate module acl_dspba_buffer File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/i_syncbuf_thread_id_from_which_to_print_message_sync_buffer0.vhd Line: 84 Info (17561): VHDL info at i_syncbuf_thread_id_from_which_to_print_message_sync_buffer0.vhd(84): back to vhdl to continue elaboration File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/i_syncbuf_thread_id_from_which_to_print_message_sync_buffer0.vhd Line: 84 Info (17560): VHDL info at bb_Block0_stall_region.vhd(653): going to verilog side to elaborate module acl_data_fifo File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/bb_Block0_stall_region.vhd Line: 653 Info (17561): VHDL info at bb_Block0_stall_region.vhd(653): back to vhdl to continue elaboration File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/bb_Block0_stall_region.vhd Line: 653 Info (17560): VHDL info at i_store_unnamed05.vhd(332): going to verilog side to elaborate module lsu_top File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/i_store_unnamed05.vhd Line: 332 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1782): truncated value with size 28 to match size of target (27) File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/lsu_bursting_load_stores.v Line: 1782 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1784): truncated value with size 6 to match size of target (5) File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/lsu_bursting_load_stores.v Line: 1784 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1787): truncated value with size 7 to match size of target (6) File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/lsu_bursting_load_stores.v Line: 1787 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1794): truncated value with size 6 to match size of target (5) File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/lsu_bursting_load_stores.v Line: 1794 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1795): truncated value with size 11 to match size of target (10) File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/lsu_bursting_load_stores.v Line: 1795 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1623): truncated value with size 10 to match size of target (8) File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/lsu_bursting_load_stores.v Line: 1623 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1637): truncated value with size 6 to match size of target (5) File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/lsu_bursting_load_stores.v Line: 1637 Info (17561): VHDL info at i_store_unnamed05.vhd(332): back to vhdl to continue elaboration File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/i_store_unnamed05.vhd Line: 332 Info (17560): VHDL info at hello_world_function_wrapper.vhd(293): going to verilog side to elaborate module acl_clock2x_holder File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/hello_world_function_wrapper.vhd Line: 293 Info (17561): VHDL info at hello_world_function_wrapper.vhd(293): back to vhdl to continue elaboration File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/hello_world_function_wrapper.vhd Line: 293 Info (16822): Verilog HDL info at hello_world_system.v(977): back to verilog to continue elaboration File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/hello_world_system.v Line: 977 Warning (13469): Verilog HDL assignment warning at acl_ic_mem_router_reorder.v(167): truncated value with size 6 to match size of target (5) File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/acl_ic_mem_router_reorder.v Line: 167 Warning (13469): Verilog HDL assignment warning at acl_ic_mem_router_reorder.v(309): truncated value with size 6 to match size of target (5) File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/acl_ic_mem_router_reorder.v Line: 309 Warning (271001): Ignored obsolete assignment "PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION" in altera_attribute File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/hello_world_function_cra_slave.vhd Line: 38 Warning (271001): Ignored obsolete assignment "PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION" in altera_attribute File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/hello_world_function_wrapper.vhd Line: 38 Warning (271001): Ignored obsolete assignment "PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION" in altera_attribute File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/hello_world_function.vhd Line: 38 Warning (271001): Ignored obsolete assignment "PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION" in altera_attribute File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/bb_Block0.vhd Line: 38 Warning (271001): Ignored obsolete assignment "PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION" in altera_attribute File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/Block0_branch.vhd Line: 38 Warning (271001): Ignored obsolete assignment "PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION" in altera_attribute File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/Block0_merge.vhd Line: 38 Warning (271001): Ignored obsolete assignment "PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION" in altera_attribute File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/bb_Block0_stall_region.vhd Line: 38 Warning (271001): Ignored obsolete assignment "PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION" in altera_attribute File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/Block0_merge_reg.vhd Line: 38 Warning (271001): Ignored obsolete assignment "PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION" in altera_attribute File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/i_printf_printf_addr2.vhd Line: 38 Warning (271001): Ignored obsolete assignment "PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION" in altera_attribute File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/i_syncbuf_thread_id_from_which_to_print_message_sync_buffer0.vhd Line: 38 Warning (271001): Ignored obsolete assignment "PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION" in altera_attribute File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/i_store_unnamed05.vhd Line: 38 Info: Found 764 design entities Info: There are 224 partitions after elaboration. Info: Creating instance-specific data models and dissolving soft partitions Info (18299): Expanding entity and wildcard assignments. Info (18300): Expanded entity and wildcard assignments. Elapsed time: 00:00:01 Warning (14284): Synthesized away the following node(s): Warning (14285): Synthesized away the following RAM node(s): Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[0]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 40 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[1]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 72 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[2]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 104 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[3]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 136 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[4]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 168 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[5]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 200 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[6]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 232 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[7]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 264 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[8]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 296 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[9]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 328 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[10]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 360 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[11]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 392 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[12]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 424 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[13]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 456 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[14]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 488 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[15]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 520 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[16]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 552 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[17]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 584 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[18]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 616 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[19]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 648 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[20]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 680 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[21]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 712 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[22]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 744 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[23]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 776 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[24]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 808 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[25]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 840 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[26]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 872 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[27]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 904 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[28]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 936 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[29]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 968 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[30]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1000 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[31]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1032 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[32]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1064 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[33]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1096 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[34]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1128 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[35]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1160 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[36]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1192 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[37]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1224 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[38]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1256 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[39]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1288 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[40]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1320 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[41]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1352 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[42]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1384 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[43]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1416 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[44]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1448 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[45]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1480 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[46]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1512 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[47]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1544 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[48]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1576 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[49]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1608 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[50]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1640 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[51]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1672 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[52]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1704 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[53]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1736 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[54]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1768 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[55]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1800 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[56]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1832 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[57]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1864 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[58]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1896 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[59]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1928 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[60]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1960 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[61]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1992 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[62]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2024 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[63]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2056 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[64]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2088 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[65]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2120 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[66]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2152 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[67]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2184 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[68]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2216 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[69]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2248 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[70]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2280 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[71]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2312 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[72]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2344 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[73]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2376 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[74]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2408 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[75]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2440 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[76]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2472 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[77]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2504 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[78]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2536 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[79]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2568 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[80]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2600 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[81]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2632 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[82]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2664 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[83]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2696 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[84]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2728 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[85]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2760 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[86]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2792 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[87]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2824 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[88]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2856 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[89]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2888 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[90]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2920 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[91]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2952 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[92]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2984 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[93]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3016 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[94]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3048 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[95]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3080 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[96]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3112 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[97]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3144 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[98]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3176 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[99]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3208 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[100]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3240 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[101]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3272 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[102]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3304 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[103]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3336 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[104]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3368 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[105]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3400 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[106]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3432 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[107]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3464 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[108]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3496 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[109]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3528 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[110]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3560 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[111]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3592 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[112]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3624 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[113]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3656 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[114]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3688 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[115]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3720 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[116]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3752 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[117]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3784 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[118]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3816 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[119]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3848 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[120]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3880 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[121]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3912 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[122]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3944 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[123]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3976 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[124]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4008 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[125]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4040 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[126]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4072 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[127]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4104 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[128]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4136 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[129]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4168 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[130]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4200 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[131]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4232 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[132]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4264 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[133]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4296 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[134]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4328 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[135]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4360 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[136]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4392 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[137]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4424 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[138]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4456 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[139]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4488 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[140]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4520 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[141]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4552 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[142]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4584 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[143]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4616 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[144]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4648 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[145]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4680 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[146]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4712 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[147]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4744 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[148]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4776 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[149]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4808 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[150]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4840 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[151]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4872 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[152]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4904 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[153]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4936 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[154]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4968 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[155]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5000 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[156]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5032 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[157]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5064 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[158]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5096 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[159]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5128 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[160]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5160 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[161]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5192 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[162]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5224 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[163]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5256 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[164]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5288 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[165]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5320 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[166]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5352 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[167]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5384 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[168]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5416 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[169]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5448 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[170]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5480 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[171]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5512 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[172]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5544 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[173]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5576 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[174]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5608 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[175]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5640 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[176]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5672 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[177]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5704 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[178]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5736 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[179]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5768 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[180]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5800 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[181]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5832 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[182]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5864 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[183]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5896 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[184]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5928 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[185]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5960 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[186]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5992 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[187]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6024 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[188]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6056 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[189]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6088 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[190]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6120 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[191]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6152 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[192]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6184 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[193]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6216 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[194]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6248 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[195]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6280 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[196]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6312 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[197]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6344 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[198]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6376 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[199]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6408 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[200]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6440 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[201]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6472 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[202]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6504 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[203]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6536 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[204]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6568 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[205]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6600 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[206]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6632 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[207]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6664 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[208]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6696 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[209]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6728 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[210]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6760 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[211]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6792 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[212]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6824 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[213]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6856 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[214]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6888 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[215]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6920 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[216]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6952 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[217]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6984 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[218]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7016 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[219]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7048 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[220]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7080 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[221]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7112 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[222]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7144 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[223]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7176 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[224]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7208 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[225]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7240 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[226]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7272 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[227]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7304 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[228]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7336 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[229]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7368 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[230]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7400 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[231]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7432 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[232]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7464 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[233]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7496 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[234]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7528 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[235]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7560 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[236]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7592 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[237]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7624 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[238]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7656 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[239]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7688 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[240]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7720 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[241]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7752 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[242]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7784 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[243]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7816 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[244]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7848 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[245]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7880 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[246]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7912 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[247]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7944 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[248]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7976 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[249]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8008 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[250]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8040 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[251]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8072 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[252]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8104 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[253]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8136 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[254]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8168 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[255]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8200 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[256]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8232 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[257]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8264 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[258]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8296 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[259]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8328 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[260]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8360 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[261]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8392 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[262]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8424 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[263]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8456 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[264]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8488 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[265]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8520 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[266]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8552 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[267]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8584 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[268]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8616 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[269]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8648 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[270]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8680 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[271]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8712 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[272]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8744 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[273]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8776 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[274]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8808 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[275]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8840 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[276]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8872 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[277]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8904 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[278]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8936 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[279]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8968 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[280]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9000 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[281]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9032 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[282]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9064 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[283]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9096 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[284]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9128 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[285]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9160 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[286]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9192 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[287]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9224 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[288]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9256 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[289]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9288 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[290]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9320 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[291]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9352 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[292]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9384 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[293]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9416 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[294]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9448 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[295]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9480 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[296]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9512 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[297]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9544 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[298]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9576 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[299]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9608 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[300]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9640 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[301]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9672 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[302]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9704 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[303]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9736 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[304]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9768 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[305]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9800 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[306]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9832 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[307]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9864 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[308]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9896 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[309]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9928 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[310]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9960 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[311]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9992 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[312]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10024 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[313]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10056 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[314]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10088 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[315]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10120 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[316]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10152 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[317]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10184 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[318]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10216 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[319]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10248 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[320]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10280 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[321]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10312 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[322]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10344 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[323]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10376 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[324]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10408 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[325]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10440 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[326]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10472 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[327]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10504 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[328]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10536 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[329]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10568 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[330]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10600 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[331]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10632 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[332]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10664 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[333]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10696 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[334]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10728 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[335]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10760 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[336]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10792 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[337]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10824 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[338]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10856 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[339]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10888 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[340]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10920 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[341]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10952 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[342]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10984 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[343]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11016 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[344]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11048 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[345]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11080 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[346]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11112 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[347]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11144 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[348]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11176 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[349]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11208 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[350]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11240 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[351]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11272 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[352]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11304 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[353]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11336 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[354]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11368 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[355]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11400 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[356]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11432 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[357]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11464 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[358]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11496 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[359]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11528 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[360]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11560 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[361]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11592 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[362]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11624 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[363]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11656 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[364]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11688 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[365]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11720 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[366]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11752 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[367]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11784 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[368]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11816 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[369]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11848 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[370]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11880 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[371]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11912 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[372]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11944 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[373]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11976 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[374]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12008 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[375]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12040 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[376]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12072 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[377]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12104 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[378]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12136 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[379]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12168 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[380]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12200 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[381]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12232 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[382]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12264 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[383]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12296 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[384]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12328 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[385]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12360 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[386]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12392 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[387]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12424 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[388]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12456 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[389]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12488 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[390]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12520 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[391]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12552 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[392]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12584 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[393]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12616 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[394]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12648 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[395]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12680 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[396]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12712 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[397]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12744 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[398]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12776 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[399]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12808 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[400]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12840 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[401]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12872 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[402]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12904 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[403]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12936 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[404]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12968 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[405]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13000 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[406]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13032 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[407]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13064 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[408]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13096 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[409]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13128 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[410]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13160 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[411]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13192 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[412]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13224 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[413]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13256 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[414]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13288 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[415]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13320 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[416]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13352 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[417]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13384 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[418]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13416 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[419]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13448 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[420]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13480 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[421]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13512 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[422]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13544 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[423]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13576 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[424]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13608 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[425]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13640 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[426]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13672 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[427]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13704 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[428]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13736 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[429]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13768 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[430]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13800 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[431]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13832 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[432]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13864 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[433]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13896 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[434]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13928 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[435]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13960 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[436]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13992 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[437]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14024 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[438]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14056 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[439]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14088 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[440]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14120 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[441]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14152 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[442]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14184 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[443]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14216 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[444]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14248 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[445]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14280 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[446]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14312 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[447]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14344 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[448]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14376 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[449]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14408 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[450]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14440 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[451]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14472 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[452]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14504 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[453]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14536 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[454]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14568 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[455]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14600 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[456]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14632 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[457]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14664 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[458]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14696 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[459]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14728 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[460]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14760 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[461]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14792 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[462]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14824 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[463]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14856 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[464]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14888 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[465]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14920 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[466]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14952 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[467]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14984 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[468]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15016 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[469]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15048 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[470]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15080 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[471]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15112 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[472]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15144 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[473]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15176 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[474]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15208 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[475]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15240 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[476]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15272 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[477]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15304 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[478]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15336 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[479]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15368 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[480]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15400 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[481]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15432 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[482]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15464 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[483]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15496 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[484]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15528 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[485]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15560 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[486]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15592 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[487]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15624 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[488]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15656 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[489]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15688 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[490]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15720 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[491]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15752 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[492]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15784 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[493]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15816 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[494]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15848 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[495]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15880 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[496]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15912 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[497]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15944 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[498]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15976 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[499]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16008 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[500]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16040 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[501]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16072 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[502]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16104 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[503]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16136 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[504]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16168 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[505]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16200 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[506]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16232 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[507]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16264 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[508]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16296 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[509]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16328 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[510]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16360 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[0].data_fifo|auto_generated|dpfifo|FIFOram|q_b[511]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16392 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[0]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 40 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[1]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 72 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[2]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 104 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[3]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 136 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[4]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 168 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[5]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 200 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[6]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 232 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[7]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 264 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[8]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 296 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[9]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 328 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[10]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 360 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[11]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 392 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[12]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 424 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[13]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 456 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[14]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 488 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[15]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 520 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[16]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 552 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[17]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 584 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[18]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 616 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[19]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 648 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[20]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 680 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[21]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 712 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[22]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 744 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[23]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 776 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[24]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 808 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[25]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 840 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[26]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 872 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[27]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 904 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[28]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 936 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[29]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 968 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[30]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1000 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[31]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1032 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[32]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1064 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[33]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1096 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[34]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1128 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[35]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1160 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[36]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1192 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[37]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1224 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[38]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1256 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[39]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1288 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[40]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1320 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[41]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1352 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[42]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1384 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[43]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1416 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[44]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1448 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[45]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1480 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[46]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1512 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[47]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1544 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[48]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1576 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[49]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1608 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[50]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1640 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[51]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1672 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[52]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1704 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[53]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1736 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[54]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1768 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[55]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1800 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[56]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1832 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[57]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1864 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[58]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1896 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[59]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1928 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[60]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1960 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[61]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 1992 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[62]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2024 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[63]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2056 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[64]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2088 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[65]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2120 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[66]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2152 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[67]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2184 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[68]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2216 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[69]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2248 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[70]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2280 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[71]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2312 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[72]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2344 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[73]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2376 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[74]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2408 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[75]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2440 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[76]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2472 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[77]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2504 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[78]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2536 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[79]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2568 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[80]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2600 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[81]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2632 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[82]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2664 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[83]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2696 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[84]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2728 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[85]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2760 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[86]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2792 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[87]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2824 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[88]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2856 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[89]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2888 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[90]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2920 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[91]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2952 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[92]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 2984 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[93]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3016 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[94]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3048 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[95]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3080 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[96]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3112 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[97]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3144 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[98]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3176 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[99]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3208 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[100]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3240 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[101]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3272 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[102]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3304 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[103]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3336 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[104]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3368 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[105]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3400 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[106]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3432 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[107]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3464 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[108]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3496 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[109]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3528 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[110]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3560 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[111]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3592 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[112]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3624 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[113]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3656 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[114]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3688 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[115]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3720 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[116]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3752 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[117]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3784 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[118]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3816 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[119]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3848 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[120]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3880 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[121]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3912 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[122]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3944 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[123]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 3976 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[124]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4008 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[125]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4040 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[126]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4072 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[127]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4104 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[128]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4136 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[129]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4168 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[130]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4200 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[131]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4232 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[132]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4264 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[133]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4296 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[134]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4328 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[135]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4360 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[136]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4392 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[137]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4424 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[138]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4456 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[139]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4488 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[140]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4520 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[141]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4552 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[142]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4584 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[143]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4616 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[144]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4648 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[145]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4680 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[146]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4712 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[147]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4744 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[148]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4776 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[149]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4808 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[150]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4840 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[151]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4872 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[152]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4904 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[153]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4936 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[154]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 4968 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[155]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5000 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[156]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5032 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[157]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5064 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[158]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5096 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[159]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5128 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[160]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5160 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[161]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5192 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[162]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5224 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[163]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5256 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[164]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5288 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[165]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5320 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[166]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5352 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[167]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5384 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[168]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5416 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[169]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5448 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[170]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5480 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[171]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5512 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[172]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5544 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[173]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5576 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[174]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5608 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[175]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5640 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[176]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5672 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[177]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5704 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[178]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5736 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[179]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5768 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[180]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5800 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[181]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5832 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[182]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5864 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[183]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5896 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[184]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5928 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[185]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5960 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[186]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 5992 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[187]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6024 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[188]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6056 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[189]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6088 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[190]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6120 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[191]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6152 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[192]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6184 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[193]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6216 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[194]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6248 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[195]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6280 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[196]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6312 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[197]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6344 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[198]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6376 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[199]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6408 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[200]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6440 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[201]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6472 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[202]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6504 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[203]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6536 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[204]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6568 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[205]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6600 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[206]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6632 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[207]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6664 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[208]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6696 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[209]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6728 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[210]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6760 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[211]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6792 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[212]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6824 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[213]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6856 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[214]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6888 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[215]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6920 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[216]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6952 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[217]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 6984 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[218]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7016 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[219]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7048 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[220]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7080 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[221]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7112 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[222]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7144 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[223]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7176 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[224]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7208 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[225]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7240 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[226]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7272 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[227]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7304 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[228]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7336 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[229]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7368 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[230]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7400 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[231]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7432 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[232]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7464 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[233]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7496 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[234]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7528 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[235]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7560 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[236]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7592 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[237]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7624 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[238]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7656 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[239]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7688 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[240]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7720 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[241]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7752 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[242]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7784 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[243]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7816 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[244]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7848 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[245]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7880 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[246]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7912 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[247]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7944 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[248]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 7976 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[249]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8008 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[250]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8040 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[251]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8072 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[252]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8104 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[253]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8136 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[254]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8168 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[255]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8200 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[256]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8232 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[257]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8264 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[258]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8296 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[259]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8328 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[260]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8360 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[261]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8392 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[262]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8424 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[263]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8456 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[264]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8488 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[265]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8520 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[266]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8552 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[267]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8584 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[268]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8616 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[269]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8648 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[270]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8680 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[271]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8712 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[272]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8744 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[273]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8776 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[274]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8808 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[275]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8840 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[276]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8872 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[277]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8904 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[278]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8936 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[279]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 8968 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[280]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9000 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[281]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9032 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[282]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9064 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[283]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9096 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[284]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9128 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[285]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9160 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[286]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9192 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[287]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9224 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[288]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9256 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[289]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9288 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[290]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9320 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[291]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9352 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[292]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9384 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[293]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9416 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[294]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9448 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[295]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9480 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[296]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9512 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[297]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9544 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[298]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9576 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[299]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9608 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[300]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9640 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[301]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9672 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[302]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9704 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[303]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9736 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[304]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9768 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[305]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9800 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[306]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9832 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[307]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9864 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[308]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9896 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[309]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9928 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[310]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9960 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[311]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 9992 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[312]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10024 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[313]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10056 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[314]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10088 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[315]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10120 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[316]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10152 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[317]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10184 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[318]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10216 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[319]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10248 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[320]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10280 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[321]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10312 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[322]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10344 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[323]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10376 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[324]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10408 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[325]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10440 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[326]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10472 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[327]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10504 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[328]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10536 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[329]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10568 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[330]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10600 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[331]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10632 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[332]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10664 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[333]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10696 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[334]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10728 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[335]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10760 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[336]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10792 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[337]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10824 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[338]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10856 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[339]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10888 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[340]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10920 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[341]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10952 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[342]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 10984 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[343]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11016 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[344]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11048 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[345]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11080 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[346]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11112 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[347]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11144 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[348]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11176 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[349]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11208 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[350]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11240 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[351]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11272 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[352]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11304 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[353]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11336 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[354]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11368 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[355]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11400 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[356]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11432 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[357]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11464 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[358]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11496 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[359]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11528 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[360]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11560 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[361]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11592 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[362]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11624 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[363]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11656 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[364]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11688 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[365]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11720 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[366]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11752 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[367]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11784 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[368]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11816 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[369]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11848 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[370]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11880 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[371]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11912 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[372]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11944 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[373]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 11976 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[374]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12008 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[375]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12040 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[376]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12072 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[377]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12104 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[378]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12136 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[379]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12168 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[380]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12200 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[381]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12232 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[382]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12264 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[383]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12296 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[384]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12328 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[385]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12360 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[386]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12392 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[387]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12424 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[388]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12456 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[389]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12488 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[390]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12520 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[391]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12552 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[392]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12584 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[393]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12616 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[394]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12648 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[395]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12680 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[396]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12712 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[397]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12744 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[398]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12776 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[399]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12808 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[400]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12840 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[401]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12872 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[402]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12904 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[403]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12936 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[404]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 12968 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[405]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13000 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[406]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13032 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[407]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13064 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[408]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13096 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[409]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13128 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[410]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13160 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[411]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13192 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[412]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13224 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[413]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13256 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[414]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13288 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[415]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13320 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[416]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13352 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[417]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13384 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[418]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13416 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[419]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13448 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[420]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13480 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[421]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13512 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[422]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13544 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[423]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13576 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[424]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13608 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[425]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13640 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[426]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13672 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[427]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13704 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[428]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13736 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[429]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13768 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[430]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13800 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[431]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13832 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[432]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13864 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[433]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13896 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[434]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13928 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[435]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13960 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[436]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 13992 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[437]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14024 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[438]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14056 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[439]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14088 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[440]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14120 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[441]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14152 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[442]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14184 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[443]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14216 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[444]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14248 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[445]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14280 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[446]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14312 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[447]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14344 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[448]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14376 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[449]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14408 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[450]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14440 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[451]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14472 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[452]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14504 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[453]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14536 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[454]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14568 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[455]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14600 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[456]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14632 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[457]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14664 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[458]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14696 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[459]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14728 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[460]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14760 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[461]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14792 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[462]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14824 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[463]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14856 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[464]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14888 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[465]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14920 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[466]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14952 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[467]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 14984 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[468]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15016 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[469]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15048 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[470]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15080 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[471]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15112 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[472]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15144 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[473]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15176 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[474]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15208 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[475]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15240 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[476]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15272 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[477]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15304 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[478]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15336 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[479]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15368 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[480]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15400 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[481]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15432 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[482]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15464 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[483]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15496 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[484]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15528 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[485]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15560 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[486]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15592 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[487]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15624 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[488]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15656 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[489]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15688 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[490]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15720 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[491]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15752 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[492]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15784 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[493]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15816 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[494]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15848 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[495]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15880 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[496]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15912 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[497]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15944 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[498]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 15976 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[499]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16008 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[500]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16040 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[501]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16072 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[502]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16104 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[503]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16136 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[504]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16168 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[505]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16200 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[506]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16232 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[507]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16264 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[508]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16296 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[509]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16328 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[510]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16360 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bank[1].data_fifo|auto_generated|dpfifo|FIFOram|q_b[511]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_dbl1.tdf Line: 16392 Warning (14284): Synthesized away the following node(s): Warning (14285): Synthesized away the following RAM node(s): Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[32]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1064 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[33]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1096 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[34]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1128 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[35]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1160 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[36]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1192 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[37]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1224 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[38]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1256 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[39]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1288 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[40]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1320 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[41]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1352 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[42]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1384 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[43]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1416 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[44]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1448 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[45]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1480 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[46]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1512 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[47]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1544 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[48]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1576 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[49]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1608 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[50]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1640 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[51]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1672 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[52]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1704 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[53]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1736 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[54]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1768 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[55]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1800 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[56]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1832 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[57]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1864 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[58]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1896 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[59]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1928 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[60]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1960 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[61]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 1992 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[62]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2024 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[63]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2056 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[64]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2088 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[65]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2120 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[66]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2152 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[67]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2184 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[68]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2216 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[69]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2248 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[70]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2280 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[71]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2312 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[72]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2344 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[73]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2376 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[74]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2408 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[75]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2440 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[76]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2472 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[77]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2504 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[78]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2536 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[79]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2568 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[80]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2600 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[81]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2632 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[82]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2664 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[83]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2696 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[84]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2728 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[85]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2760 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[86]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2792 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[87]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2824 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[88]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2856 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[89]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2888 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[90]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2920 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[91]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2952 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[92]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 2984 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[93]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3016 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[94]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3048 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[95]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3080 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[96]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3112 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[97]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3144 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[98]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3176 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[99]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3208 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[100]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3240 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[101]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3272 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[102]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3304 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[103]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3336 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[104]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3368 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[105]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3400 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[106]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3432 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[107]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3464 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[108]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3496 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[109]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3528 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[110]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3560 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[111]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3592 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[112]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3624 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[113]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3656 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[114]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3688 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[115]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3720 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[116]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3752 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[117]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3784 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[118]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3816 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[119]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3848 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[120]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3880 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[121]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3912 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[122]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3944 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[123]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 3976 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[124]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4008 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[125]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4040 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[126]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4072 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[127]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4104 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[128]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4136 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[129]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4168 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[130]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4200 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[131]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4232 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[132]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4264 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[133]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4296 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[134]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4328 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[135]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4360 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[136]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4392 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[137]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4424 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[138]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4456 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[139]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4488 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[140]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4520 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[141]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4552 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[142]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4584 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[143]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4616 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[144]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4648 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[145]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4680 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[146]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4712 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[147]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4744 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[148]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4776 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[149]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4808 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[150]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4840 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[151]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4872 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[152]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4904 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[153]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4936 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[154]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 4968 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[155]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5000 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[156]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5032 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[157]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5064 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[158]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5096 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[159]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5128 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[160]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5160 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[161]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5192 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[162]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5224 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[163]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5256 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[164]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5288 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[165]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5320 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[166]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5352 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[167]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5384 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[168]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5416 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[169]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5448 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[170]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5480 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[171]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5512 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[172]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5544 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[173]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5576 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[174]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5608 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[175]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5640 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[176]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5672 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[177]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5704 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[178]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5736 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[179]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5768 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[180]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5800 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[181]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5832 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[182]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5864 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[183]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5896 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[184]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5928 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[185]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5960 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[186]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 5992 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[187]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 6024 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[188]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 6056 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[189]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 6088 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[190]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 6120 Warning (14320): Synthesized away node "hello_world_system|hello_world_system|hello_world_id_iter_inst_0|group_id_fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[191]" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/tmp-clearbox/top_synth/4467/altsyncram_18l1.tdf Line: 6152 Info: Saving pre-synthesis snapshots for 1 partition(s) Info: Synthesizing partition "root_partition" Warning (14284): Synthesized away the following node(s): Warning (14285): Synthesized away the following LCELL buffer node(s): Warning (14320): Synthesized away node "hello_world_system|hello_world_system|gmem0_DDR_.router[0].router|rrp.bs_doneburst" File: /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/ip/kernel_system/kernel_system_hello_world_system/hello_world_system_140/synth/acl_ic_mem_router_reorder.v Line: 140 Info (286030): Timing-Driven Synthesis is running Info (125061): Changed top-level design entity name to "top" Info (125061): Changed top-level design entity name to "top" Info (125061): Changed top-level design entity name to "top" Info (125061): Changed top-level design entity name to "top" Info (125061): Changed top-level design entity name to "kernel_system" Info (125061): Changed top-level design entity name to "kernel_system" Info (125061): Changed top-level design entity name to "kernel_system" Info (125061): Changed top-level design entity name to "kernel_system" *** Fatal Error: Segment Violation at (nil) Module: quartus_syn Stack Trace: 0x61383: google::protobuf::FileDescriptorTables::~FileDescriptorTables() + 0x43 (protobuf) 0x3c53a: __cxa_finalize + 0x9a (c) End-trace *** Fatal Error: Segment Violation at (nil) Module: quartus_syn Stack Trace: 0x61383: google::protobuf::FileDescriptorTables::~FileDescriptorTables() + 0x43 (protobuf) 0x3c53a: __cxa_finalize + 0x9a (c) End-trace Error (114016): Out of memory in module quartus_syn (1600 megabytes used) Error (114016): Out of memory in module quartus_syn (1579 megabytes used) *** Fatal Error: Segment Violation at (nil) Module: quartus_syn Stack Trace: 0x61383: google::protobuf::FileDescriptorTables::~FileDescriptorTables() + 0x43 (protobuf) 0x3c53a: __cxa_finalize + 0x9a (c) End-trace Error (114016): Out of memory in module quartus_syn (1592 megabytes used) Error: Failed to synthesize partition Info: Saving post-synthesis snapshots for 1 partition(s) *** Fatal Error: Segment Violation at (nil) Module: quartus_syn Stack Trace: 0x61383: google::protobuf::FileDescriptorTables::~FileDescriptorTables() + 0x43 (protobuf) 0x3c53a: __cxa_finalize + 0x9a (c) End-trace Error (114016): Out of memory in module quartus_syn (1605 megabytes used) Error: Quartus Prime Synthesis was unsuccessful. 5 errors, 1239 warnings Error: Peak virtual memory: 2325 megabytes Error: Processing ended: Thu Jun 21 18:16:16 2018 Error: Elapsed time: 00:01:43 Error: Total CPU time (on all processors): 00:05:46 *** Fatal Error: Segment Violation at (nil) Module: quartus_syn Stack Trace: 0x61383: google::protobuf::FileDescriptorTables::~FileDescriptorTables() + 0x43 (protobuf) 0x3c53a: __cxa_finalize + 0x9a (c) End-trace Info: ******************************************************************* Info: Running Quartus Prime Compiler Database Interface Info: Version 17.0.0 Build 290 04/26/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Thu Jun 21 17:00:26 2018 Info: Command: quartus_cdb -t import_compile.tcl Info: Using INI file /mnt/saman/exm_opencl_hello_world_x64_linux/hello_world/device/bin/hello_world/quartus.ini Info: Successfully completed BAK flow Info: To reduce compile time on future compiles, you can generate a BAK cache by adding the arguments '--bsp-flow regenerate_cache' to aoc to skip BAK Error (23031): Evaluation of Tcl script import_compile.tcl unsuccessful Error: Quartus Prime Compiler Database Interface was unsuccessful. 1 error, 0 warnings Error: Peak virtual memory: 1194 megabytes Error: Processing ended: Thu Jun 21 18:16:17 2018 Error: Elapsed time: 01:15:51 Error: Total CPU time (on all processors): 02:27:22