Info: ******************************************************************* Info: Running Quartus Prime Compiler Database Interface Info: Version 17.0.1 Build 293 06/07/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Fri Nov 3 12:53:43 2017 Info: Command: quartus_cdb top -c base --import_design --file base.qdb --overwrite Info: Quartus(args): --project top -c base --file base.qdb --overwrite Info: Using INI file /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/quartus.ini Info: ########################### REFLEXCES XPressA10GX ASSIGNEMENT START: xpressa10gx_pinout.tcl ############################################################ Info: # Processing assignments file starts. Info: # Processing assignments file ends. Info: ########################### REFLEXCES XPressA10GX ASSIGNEMENT END: xpressa10gx_pinout.tcl ############################################################ Info: Running design::import_design -file base.qdb -overwrite Critical Warning (18639): Skipping database version check for import of database files from 'Version 17.0.1 Build 293 06/07/2017 SJ Pro Edition'. The imported database might be incompatible with current version of the software. Critical Warning (18639): Skipping database version check for import of database files from 'Version 17.0.1 Build 293 06/07/2017 SJ Pro Edition'. The imported database might be incompatible with current version of the software. Critical Warning (18639): Skipping database version check for import of database files from 'Version 17.0.1 Build 293 06/07/2017 SJ Pro Edition'. The imported database might be incompatible with current version of the software. Critical Warning (18639): Skipping database version check for import of database files from 'Version 17.0.1 Build 293 06/07/2017 SJ Pro Edition'. The imported database might be incompatible with current version of the software. Info (16677): Loading final database Info (16734): Loading "final" snapshot for partition "root_partition". Info (16734): Loading "final" snapshot for partition "auto_fab_0". Info (16734): Loading "final" snapshot for partition "kernel". Info (16678): Successfully loaded final database: elapsed time is 00:16:55 Info (18230): Checking the imported netlist for invalid settings in the current version of the software. Warning (12620): Input port OE of I/O output buffer "pcie_smbclk~output" is not connected, but the atom is driving a bi-directional pin Warning (12620): Input port OE of I/O output buffer "pcie_smbdat~output" is not connected, but the atom is driving a bi-directional pin Info (23030): Evaluation of Tcl script /home/meven/intelFPGA_pro/17.0/quartus/common/tcl/internal/qatm_import_design.tcl was successful Info: Quartus Prime Compiler Database Interface was successful. 0 errors, 6 warnings Info: Peak virtual memory: 4620 megabytes Info: Processing ended: Fri Nov 3 13:12:12 2017 Info: Elapsed time: 00:18:29 Info: Total CPU time (on all processors): 00:18:03 Loading tcl libsynth_wl_netlist.so Info: ########################### REFLEXCES XPressA10GX ASSIGNEMENT START: xpressa10gx_pinout.tcl ############################################################ Info: # Processing assignments file starts. Info: # Processing assignments file ends. Info: ########################### REFLEXCES XPressA10GX ASSIGNEMENT END: xpressa10gx_pinout.tcl ############################################################ Info: ******************************************************************* Info: Running Quartus Prime Fitter Info: Version 17.0.1 Build 293 06/07/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Fri Nov 3 13:12:14 2017 Info: Command: quartus_fit top -c base Info: Using INI file /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/quartus.ini Info: qfit2_default_script.tcl version: #1 Info: Project = top Info: Revision = base Info (16677): Loading synthesized database Info (16734): Loading "final" snapshot for partition "root_partition". Info (16734): Loading "final" snapshot for partition "auto_fab_0". Info (16734): Loading "final" snapshot for partition "kernel". Info (16678): Successfully loaded synthesized database: elapsed time is 00:00:09 Info (19078): Instance assignments in read-only partitions are ignored: Info (19079): Ignored assignment "LOCATION PIN_AE20 -to fan_cde" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AJ19 -to pps" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AM21 -to clk_20mhz" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AJ21 -to clk_ref_1" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AT18 -to clk_ref_2" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AP18 -to clk_ref_3" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AR18 -to reset_n" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AL28 -to pcie_refclk(n)" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AL29 -to pcie_refclk" because target belongs to a read-only partition "|" Info (19079): Ignored assignment "LOCATION PIN_AW16 -to pcie_perst_n" because target belongs to a read-only partition "|" Info (19080): Additional 224 ignored instance assignments are not displayed. Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (20030): Parallel compilation is enabled and will use 16 of the 24 processors detected Info (119006): Selected device 10AX115N4F40I3SG for design "base" Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 100 degrees C Warning (18550): Found RAM instances implemented as ROM because the write logic is disabled. One instance is listed below as an example. Info (119043): Atom "board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|cal_slave_component|ioaux_soft_ram|the_altsyncram|auto_generated|ram_block1a0" is instantiated as RAM, but it is actually implemented as ROM function because the write logic is always disabled Warning (12620): Input port OE of I/O output buffer "pcie_smbclk~output" is not connected, but the atom is driving a bi-directional pin Warning (12620): Input port OE of I/O output buffer "pcie_smbdat~output" is not connected, but the atom is driving a bi-directional pin Info (171004): Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Info (12262): Starting Fitter periphery placement operations Info (12290): Loading the periphery placement data. Info (12291): Periphery placement data loaded: elapsed time is 00:00:31 Warning (12620): Input port OE of I/O output buffer "pcie_smbclk~output" is not connected, but the atom is driving a bi-directional pin Warning (12620): Input port OE of I/O output buffer "pcie_smbdat~output" is not connected, but the atom is driving a bi-directional pin Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details Warning (12789): Real-time CRC ERROR_CHECK_FREQUENCY_DIVISOR value (1) in design does not match value (256) in the Quartus Prime Settings File Warning (12620): Input port OE of I/O output buffer "pcie_smbclk~output" is not connected, but the atom is driving a bi-directional pin Warning (12620): Input port OE of I/O output buffer "pcie_smbdat~output" is not connected, but the atom is driving a bi-directional pin Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Warning (12620): Input port OE of I/O output buffer "pcie_smbclk~output" is not connected, but the atom is driving a bi-directional pin Warning (12620): Input port OE of I/O output buffer "pcie_smbdat~output" is not connected, but the atom is driving a bi-directional pin Info (16210): Plan updated with currently enabled project assignments. Info (12295): Periphery placement of all unplaced cells complete: elapsed time is 00:00:00 Warning (18576): The transceivers with supply "VCCR_GXB" on the left HSSI strip use "1.03V". The default voltage for unused HSSI channel(s) has been overridden by "1.03V". Warning (18576): The transceivers with supply "VCCT_GXB" on the left HSSI strip use "1.03V". The default voltage for unused HSSI channel(s) has been overridden by "1.03V". Info (11178): Promoted 9 clocks (9 global) Info (13173): board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|outclk[0]~CLKENA0 (51994 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2A_G_I5 Info (13173): board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|outclk[1]~CLKENA0 (7 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2A_G_I6 Info (13173): freeze_wrapper_inst|kernel_system_clock_reset_reset_reset_n~CLKENA0 (11372 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2F_G_I14 Info (13173): board_inst|kernel_interface|kernel_interface|reset_controller_sw|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain_out~CLKENA0 (2325 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_1C_G_I1 Info (13173): board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|non_hps.core_clks_rsts_inst|clk_gen_hmc.hr_qr.clk_gen_master.emif_usr_clk_buf (13764 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2K_G_I7 Info (13173): board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|pll_inst|pll_c_counters[3]~CLKENA0 (177 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2K_G_I3 Info (13173): board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|pll_inst|pll_c_counters[4]~CLKENA0 (422 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2K_G_I4 Info (13173): pll_50m_inst|iopll_50m|iopll_50m_iopll_50m|altera_iopll_i|twentynm_pll|outclk[0]~CLKENA0 (948 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2I_G_I0 Info (13173): board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|wys~CORE_CLK_OUTCLKENA0 (36838 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_1D_G_I15 Warning (12620): Input port OE of I/O output buffer "pcie_smbclk~output" is not connected, but the atom is driving a bi-directional pin Warning (12620): Input port OE of I/O output buffer "pcie_smbdat~output" is not connected, but the atom is driving a bi-directional pin Info (176233): Starting register packing Info (176235): Finished register packing Extra Info (176219): No registers were packed into other blocks Warning (18576): The transceivers with supply "VCCR_GXB" on the left HSSI strip use "1.03V". The default voltage for unused HSSI channel(s) has been overridden by "1.03V". Warning (18576): The transceivers with supply "VCCT_GXB" on the left HSSI strip use "1.03V". The default voltage for unused HSSI channel(s) has been overridden by "1.03V". Info (12263): Fitter periphery placement operations ending: elapsed time is 00:04:18 Info (332164): Evaluating HDL-embedded SDC commands Info (332165): Entity alt_xcvr_resync Info (332166): set regs [get_registers -nowarn *alt_xcvr_resync*sync_r[0]]; if {[llength [query_collection -report -all $regs]] > 0} {set_false_path -to $regs} Info (332165): Entity altera_std_synchronizer Info (332166): set_false_path -to [get_keepers {*altera_std_synchronizer:*|din_s1}] Info (332165): Entity altpcie_reset_delay_sync Info (332166): set_false_path -from [get_fanins -async *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] -to [get_keepers *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *por_sync_altpcie_reset_delay_sync*rs_meta[*]] -to [get_keepers *por_sync_altpcie_reset_delay_sync*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] -to [get_keepers *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *por_sync_altpcie_reset_delay_sync*rs_meta[*]] -to [get_keepers *por_sync_altpcie_reset_delay_sync*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] -to [get_keepers *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *por_sync_altpcie_reset_delay_sync*rs_meta[*]] -to [get_keepers *por_sync_altpcie_reset_delay_sync*rs_meta[*]] Info (332165): Entity altpcie_sc_bitsync Info (332166): set_multicycle_path -to [get_keepers *pld_clk_in_use_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] 3 Info (332166): set_false_path -hold -to [get_keepers *pld_clk_in_use_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] Info (332166): set_multicycle_path -to [get_keepers *reset_status_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] 3 Info (332166): set_false_path -hold -to [get_keepers *reset_status_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] Info (332165): Entity dcfifo_4ei1 Info (332166): set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_3v8:dffpipe16|dffe17a* Info (332166): set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_2v8:dffpipe13|dffe14a* Warning (332174): Ignored filter at qfit2_default_fitter_flow.tcl(312): *pld_clk_in_use_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*] could not be matched with a keeper File: /home/meven/intelFPGA_pro/17.0/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 312 Warning (332049): Ignored set_multicycle_path at qfit2_default_fitter_flow.tcl(312): Argument is an empty collection File: /home/meven/intelFPGA_pro/17.0/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 312 Info (332050): eval "fit_place $create_fitter_netlist_args" File: /home/meven/intelFPGA_pro/17.0/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 312 Warning (332049): Ignored set_false_path at qfit2_default_fitter_flow.tcl(312): Argument is an empty collection File: /home/meven/intelFPGA_pro/17.0/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 312 Info (332050): eval "fit_place $create_fitter_netlist_args" File: /home/meven/intelFPGA_pro/17.0/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 312 Info (332104): Reading SDC File: 'top.sdc' Warning (332174): Ignored filter at top.sdc(24): clk_ref_3 could not be matched with a port File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/top.sdc Line: 24 Warning (332049): Ignored create_clock at top.sdc(24): Argument is an empty collection File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/top.sdc Line: 24 Info (332050): create_clock -name {clk_ref_3} -period 100.000MHz [get_ports {clk_ref_3}] File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/top.sdc Line: 24 Warning (332174): Ignored filter at top.sdc(25): clk_20mhz could not be matched with a port File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/top.sdc Line: 25 Warning (332049): Ignored create_clock at top.sdc(25): Argument is an empty collection File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/top.sdc Line: 25 Info (332050): create_clock -name {clk_20mhz} -period 20.000MHz [get_ports {clk_20mhz}] File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/top.sdc Line: 25 Info (332104): Reading SDC File: 'board/altera_avalon_dc_fifo_170/synth/altera_avalon_dc_fifo.sdc' Info (332104): Reading SDC File: 'board/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'acl_ddr4_a10/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'acl_ddr4_a10_core/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/board/board_alt_pr/alt_pr_170/synth/rtl/alt_pr.sdc' Warning (332060): Node: ddr4_refclk was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[1].lane_gen[1].lane_inst~phy_reg0 is being clocked by ddr4_refclk Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_4_txclk_reg.reg Warning (332060): Node: ddr4_dqs[4] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[1].lane_gen[3].lane_inst~low_dff_a is being clocked by ddr4_dqs[4] Warning (332060): Node: ddr4_dqs[3] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[3].lane_inst~low_dff_a is being clocked by ddr4_dqs[3] Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk_by2.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by4_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_4_reg.reg Warning (332060): Node: ddr4_dqs[0] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[0].lane_inst~low_dff_a is being clocked by ddr4_dqs[0] Warning (332060): Node: ddr4_dqs[1] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[1].lane_inst~low_dff_a is being clocked by ddr4_dqs[1] Warning (332060): Node: ddr4_dqs[2] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[2].lane_inst~low_dff_a is being clocked by ddr4_dqs[2] Warning (332060): Node: ddr4_dqs[5] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[2].lane_gen[0].lane_inst~low_dff_a is being clocked by ddr4_dqs[5] Warning (332060): Node: ddr4_dqs[6] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[2].lane_gen[1].lane_inst~low_dff_a is being clocked by ddr4_dqs[6] Warning (332060): Node: ddr4_dqs[7] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[2].lane_gen[2].lane_inst~low_dff_a is being clocked by ddr4_dqs[7] Info (332104): Reading SDC File: 'ip/board/board_kernel_clk_gen/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_handshake_clock_crosser.sdc' Info (332104): Reading SDC File: 'ip/board/board_kernel_clk_gen/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/board/board_pcie/altera_xcvr_native_a10_170/synth/altera_xcvr_native_a10_false_paths.sdc' Warning (332174): Ignored filter at altera_xcvr_native_a10_false_paths.sdc(53): *twentynm_xcvr_native_inst|*inst_twentynm_pcs|*twentynm_hssi_*_pld_pcs_interface*|pld_pmaif_tx_pld_rst_n could not be matched with a pin File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/altera_xcvr_native_a10_false_paths.sdc Line: 53 Warning (332174): Ignored filter at altera_xcvr_native_a10_false_paths.sdc(63): *twentynm_xcvr_native_inst|*inst_twentynm_pcs|*twentynm_hssi_*_pld_pcs_interface*|pld_10g_krfec_tx_pld_rst_n could not be matched with a pin File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_xcvr_native_a10_170/synth/altera_xcvr_native_a10_false_paths.sdc Line: 63 Info (332104): Reading SDC File: 'ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc' Warning (332174): Ignored filter at altera_pci_express.sdc(29): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg[*] could not be matched with a register File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 29 Warning (332174): Ignored filter at altera_pci_express.sdc(29): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg[*] could not be matched with a register File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 29 Warning (332049): Ignored set_max_skew at altera_pci_express.sdc(29): Argument -to with value [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg[*] }] contains zero elements File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 29 Info (332050): set_max_skew -from [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|wys~pld_clk.reg}] -to [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg[*] }] 6.500 File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 29 Warning (332174): Ignored filter at altera_pci_express.sdc(30): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg_1[*] could not be matched with a register File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 30 Warning (332174): Ignored filter at altera_pci_express.sdc(30): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg_1[*] could not be matched with a register File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 30 Warning (332049): Ignored set_max_skew at altera_pci_express.sdc(30): Argument -to with value [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg_1[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg_1[*] }] contains zero elements File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 30 Info (332050): set_max_skew -from [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|wys~pld_clk.reg}] -to [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg_1[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg_1[*] }] 6.500 File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 30 Warning (332174): Ignored filter at altera_pci_express.sdc(32): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg[*] could not be matched with a register File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 32 Warning (332174): Ignored filter at altera_pci_express.sdc(32): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg_1[*] could not be matched with a register File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 32 Warning (332174): Ignored filter at altera_pci_express.sdc(32): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg[*] could not be matched with a register File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 32 Warning (332174): Ignored filter at altera_pci_express.sdc(32): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg_1[*] could not be matched with a register File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 32 Warning (332049): Ignored set_max_delay at altera_pci_express.sdc(32): Argument is an empty collection File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 32 Info (332050): set_max_delay -from [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|wys~pld_clk.reg}] -to [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg_1[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg_1[*] }] 10.000 File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 32 Warning (332174): Ignored filter at altera_pci_express.sdc(33): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_sc_bitsync_node:rx_polinv_dbg.dbg_rx_valid_altpcie_sc_bitsync_1|altpcie_sc_bitsync:altpcie_sc_bitsync|altpcie_sc_bitsync_meta_dff[0] could not be matched with a clock or keeper or register or port or pin or cell or partition File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 33 Warning (332049): Ignored set_false_path at altera_pci_express.sdc(33): Argument is not an object ID File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 33 Info (332050): set_false_path -from {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|wys~pld_clk.reg} -to {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_sc_bitsync_node:rx_polinv_dbg.dbg_rx_valid_altpcie_sc_bitsync_1|altpcie_sc_bitsync:altpcie_sc_bitsync|altpcie_sc_bitsync_meta_dff[0]} File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 33 Warning (332174): Ignored filter at altera_pci_express.sdc(34): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_sc_bitsync_node:rx_polinv_dbg.dbg_rx_valid_altpcie_sc_bitsync|altpcie_sc_bitsync:altpcie_sc_bitsync|altpcie_sc_bitsync_meta_dff[0] could not be matched with a clock or keeper or register or port or pin or cell or partition File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 34 Warning (332049): Ignored set_false_path at altera_pci_express.sdc(34): Argument is not an object ID File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 34 Info (332050): set_false_path -from {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|wys~pld_clk.reg} -to {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_sc_bitsync_node:rx_polinv_dbg.dbg_rx_valid_altpcie_sc_bitsync|altpcie_sc_bitsync:altpcie_sc_bitsync|altpcie_sc_bitsync_meta_dff[0]} File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altera_pci_express.sdc Line: 34 Info (332104): Reading SDC File: 'ip/board/board_memory_bank_divider_ddr4a/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/board/board_reset_controller_global/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/board/board_kernel_interface/mem_org_mode_100/synth/mem_org_mode.sdc' Info (332104): Reading SDC File: 'ip/board/board_kernel_interface/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/board/board_kernel_interface/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_handshake_clock_crosser.sdc' Info (332104): Reading SDC File: 'ip/board/board_temperature/acl_temperature_a10_151/synth/temp_sense_a10.sdc' Info (332104): Reading SDC File: 'ip/board/board_reset_controller_pcie/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr4_a10/acl_ddr4_a10_reset_controller_ddr4a_pipe/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr4_a10/acl_ddr4_a10_clock_cross_pcie_to_ddr4a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr4_a10/acl_ddr4_a10_uniphy_status_20nm/uniphy_status_20nm_141/synth/uniphy_status_20nm.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr4_a10/acl_ddr4_a10_reset_controller_ddr4a/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr4_a10/acl_ddr4_a10_clock_cross_kernel_to_ddr4a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc' Info (332104): Reading SDC File: 'kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr4_a10_core/acl_ddr4_a10_core_ddr4a/altera_emif_arch_nf_170/synth/acl_ddr4_a10_core_ddr4a_altera_emif_arch_nf_170_i5ofahy.sdc' Info (332151): Clock uncertainty is not calculated until you update the timing netlist. Info (332104): Reading SDC File: 'ip/acl_ddr4_a10_core/acl_ddr4_a10_core_ddr4a/altera_jtag_dc_streaming_170/synth/altera_avalon_st_jtag_interface.sdc' Info (332104): Reading SDC File: 'ip/acl_ddr4_a10_core/acl_ddr4_a10_core_ddr4a/altera_reset_controller_170/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'top_post.sdc' Info (332110): Deriving PLL clocks Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|wys|pll_fixed_clk_central} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|wys~CORE_CLK_OUT} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|wys|core_clk_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|wys~CORE_CLK_OUTCLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|pcie|pld_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|wys|pld_clk} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -duty_cycle 50.00 -name {board_inst|pcie|hip_cmn_clk[0]} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pld_pcs_interface.inst_twentynm_hssi_common_pld_pcs_interface|hip_cmn_clk[0]} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|pma_hclk_by2} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_fref} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_refclk_select_inst|refclk} -multiply_by 25 -duty_cycle 50.00 -name {board_inst|pcie|tx_serial_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_inst|clk0} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_refclk_select_inst|refclk} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|pll_pcie_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_inst|hclk_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_hssi_pma_lc_refclk_select_mux_inst|lvpecl_in} -multiply_by 40 -duty_cycle 50.00 -name {board_inst|pcie|twentynm_atx_pll_inst~O_CLK0_8G} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_atx_pll_inst|clk0_8g} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pld_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|tx_clkout} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_pma_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|tx_clk} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_hssi_pma_cgb_master_inst|clk_fpll_b} -divide_by 16 -duty_cycle 50.00 -name {board_inst|pcie|tx_bonding_clocks[0]} {board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_hssi_pma_cgb_master_inst|cpulse_out_bus[0]} Info (332110): create_generated_clock -source {board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|refclk[0]} -divide_by 2 -multiply_by 8 -duty_cycle 50.00 -name {board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|outclk0} {board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|outclk[0]} Info (332110): create_generated_clock -source {board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|refclk[0]} -multiply_by 8 -duty_cycle 50.00 -name {board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|outclk1} {board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|outclk[1]} Info (332110): create_generated_clock -source {pll_50m_inst|iopll_50m|iopll_50m_iopll_50m|altera_iopll_i|twentynm_pll|iopll_inst|refclk[0]} -divide_by 12 -multiply_by 6 -duty_cycle 50.00 -name {pll_50m_inst|iopll_50m|iopll_50m_iopll_50m|outclk0} {pll_50m_inst|iopll_50m|iopll_50m_iopll_50m|altera_iopll_i|twentynm_pll|iopll_inst|outclk[0]} Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|pll_inst|pll_inst|loaden[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|pll_inst|pll_inst|lvds_clk[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|pll_inst|pll_inst|outclk[3]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|pll_inst|pll_inst|outclk[4]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|pll_inst|pll_inst~_Duplicate|loaden[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|pll_inst|pll_inst~_Duplicate|lvds_clk[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|loaden[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332099): You called derive_pll_clocks. User-defined clock found on pll: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|lvds_clk[0]. Original clock has priority over derived pll clocks. No clocks added to this pll. Info (332151): Clock uncertainty is not calculated until you update the timing netlist. Warning (332043): Overwriting existing clock: pr_clk_enable_dclk_reg2_user_clk Warning (332174): Ignored filter at top_post.sdc(35): clk_ref_3 could not be matched with a clock File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/top_post.sdc Line: 35 Warning (332054): Assignment set_clock_groups is accepted but has some problems at top_post.sdc(35): Argument -group with value clk_ref_3 could not match any element of the following types: ( clk ) File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/top_post.sdc Line: 35 Info (332050): set_clock_groups -asynchronous \ -group {altera_ts_clk} \ -group {altera_reserved_tck} \ -group {clk_ref_1} \ -group {clk_ref_2} \ -group {clk_ref_3} \ -group {pll_50m_inst|iopll_50m|iopll_50m_iopll_50m|outclk0} \ -group [get_clocks { pcie_refclk board_inst|pcie|* }] \ -group [get_clocks { board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|* }] \ -group [get_clocks { board_inst|acl_ddr4_a10|* }] \ -group [get_clocks { \ ddr4_dqs[0]_IN \ ddr4_dqs[1]_IN \ ddr4_dqs[2]_IN \ ddr4_dqs[3]_IN \ ddr4_dqs[4]_IN \ ddr4_dqs[5]_IN \ ddr4_dqs[6]_IN \ ddr4_dqs[7]_IN }] File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/top_post.sdc Line: 35 Warning (332174): Ignored filter at top_post.sdc(66): board_inst|acl_ddr4_a10|ddr4_calibrate|sw_reset_n_out could not be matched with a clock or keeper or register or port or pin or cell or partition File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/top_post.sdc Line: 66 Warning (332049): Ignored set_false_path at top_post.sdc(66): Argument is not an object ID File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/top_post.sdc Line: 66 Info (332050): set_false_path -from board_inst|acl_ddr4_a10|ddr4_calibrate|sw_reset_n_out -to * File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/top_post.sdc Line: 66 Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Critical Warning (332012): Synopsys Design Constraints File file not found: '/opt/intelFPGA_pro/17.0.1_b293/ip/altera/sld/jtag/altera_jtag_wys_atom/default_jtag.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~rx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_txclk_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Warning (332060): Node: board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~tx_clk2_by2_1.reg is being clocked by board_inst|pcie|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs~byte_serializer_pcs_clk_div_by_2_reg.reg Info (332097): The following timing edges are non-unate. TimeQuest will assume pos-unate behavior for these edges in the clock network. Info (332098): Cell: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[0].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[1].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[2].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[3].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[4].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[5].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[6].b|cal_oct.obuf from: oe to: o Info (332098): Cell: board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a|arch|arch_inst|bufs_inst|gen_mem_dqs.inst[7].b|cal_oct.obuf from: oe to: o Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. Info (332152): The following assignments are ignored by the derive_clock_uncertainty command Warning (332061): Virtual clock pr_clk_enable_dclk_reg2_user_clk is never referenced in any input or output delay assignment. Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements Info (332111): Found 97 clocks Info (332111): Period Clock Name Info (332111): ======== ============ Info (332111): 50.000 altera_reserved_tck Info (332111): 1000.000 altera_ts_clk Info (332111): 6.432 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_core_cal_master_clk Info (332111): 6.432 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_core_cal_slave_clk Info (332111): 4.288 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_core_usr_clk Info (332111): 2.144 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_phy_clk_0 Info (332111): 2.144 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_phy_clk_1 Info (332111): 2.144 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_phy_clk_2 Info (332111): 4.288 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_phy_clk_l_0 Info (332111): 4.288 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_phy_clk_l_1 Info (332111): 4.288 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_phy_clk_l_2 Info (332111): 4.288 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_ref_clock Info (332111): 1.072 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_vco_clk Info (332111): 1.072 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_vco_clk_1 Info (332111): 1.072 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_vco_clk_2 Info (332111): 1.072 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_wf_clk_0 Info (332111): 1.072 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_wf_clk_1 Info (332111): 1.072 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_wf_clk_2 Info (332111): 1.072 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_wf_clk_3 Info (332111): 1.072 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_wf_clk_4 Info (332111): 1.072 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_wf_clk_5 Info (332111): 1.072 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_wf_clk_6 Info (332111): 1.072 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_wf_clk_7 Info (332111): 1.072 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_wf_clk_8 Info (332111): 1.072 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_wf_clk_9 Info (332111): 1.072 board_inst|acl_ddr4_a10|acl_ddr4_a10_core|ddr4a|ddr4a_wf_clk_10 Info (332111): 2.500 board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|outclk0 Info (332111): 1.250 board_inst|kernel_clk_gen|kernel_clk_gen|kernel_pll|outclk1 Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[0]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[0]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[0]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[0]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[0]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[0]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[1]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[1]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[1]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[1]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[1]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[1]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[2]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[2]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[2]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[2]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[2]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[2]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[3]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[3]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[3]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[3]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[3]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[3]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[4]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[4]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[4]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[4]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[4]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[4]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[5]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[5]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[5]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[5]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[5]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[5]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[6]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[6]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[6]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[6]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[6]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[6]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[7]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[7]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[7]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[7]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[7]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[7]|tx_clk Info (332111): 2.000 board_inst|pcie|hip_cmn_clk[0] Info (332111): 4.000 board_inst|pcie|pld_clk Info (332111): 2.000 board_inst|pcie|pll_pcie_clk Info (332111): 0.250 board_inst|pcie|twentynm_atx_pll_inst~O_CLK0_8G Info (332111): 6.400 board_inst|pcie|tx_bonding_clocks[0] Info (332111): 25.600 board_inst|pcie|tx_clkout Info (332111): 0.400 board_inst|pcie|tx_serial_clk Info (332111): 4.000 board_inst|pcie|wys~CORE_CLK_OUT Info (332111): 10.000 clk_ref_1 Info (332111): 10.000 clk_ref_2 Info (332111): 1.071 ddr4_dqs[0]_IN Info (332111): 1.071 ddr4_dqs[1]_IN Info (332111): 1.071 ddr4_dqs[2]_IN Info (332111): 1.071 ddr4_dqs[3]_IN Info (332111): 1.071 ddr4_dqs[4]_IN Info (332111): 1.071 ddr4_dqs[5]_IN Info (332111): 1.071 ddr4_dqs[6]_IN Info (332111): 1.071 ddr4_dqs[7]_IN Info (332111): 10.000 pcie_refclk Info (332111): 20.000 pll_50m_inst|iopll_50m|iopll_50m_iopll_50m|outclk0 Info (332111): 20.000 pr_clk_enable_dclk_reg2_user_clk Warning (12620): Input port OE of I/O output buffer "pcie_smbclk~output" is not connected, but the atom is driving a bi-directional pin Warning (12620): Input port OE of I/O output buffer "pcie_smbdat~output" is not connected, but the atom is driving a bi-directional pin Warning (15705): Ignored locations or region assignments to the following nodes Warning (15706): Node "ddr4_bg[1]" is assigned to location or region, but does not exist in design Warning (15706): Node "ddr4_dbi_n[8]" is assigned to location or region, but does not exist in design Warning (15706): Node "ddr4_dq[64]" is assigned to location or region, but does not exist in design Warning (15706): Node "ddr4_dq[65]" is assigned to location or region, but does not exist in design Warning (15706): Node "ddr4_dq[66]" is assigned to location or region, but does not exist in design Warning (15706): Node "ddr4_dq[67]" is assigned to location or region, but does not exist in design Warning (15706): Node "ddr4_dq[68]" is assigned to location or region, but does not exist in design Warning (15706): Node "ddr4_dq[69]" is assigned to location or region, but does not exist in design Warning (15706): Node "ddr4_dq[70]" is assigned to location or region, but does not exist in design Warning (15706): Node "ddr4_dq[71]" is assigned to location or region, but does not exist in design Warning (15706): Node "ddr4_dqs[8]" is assigned to location or region, but does not exist in design Warning (15706): Node "ddr4_dqs_n[8]" is assigned to location or region, but does not exist in design Info (11165): Fitter preparation operations ending: elapsed time is 00:05:42 Info (18252): The Fitter is using Physical Synthesis. Info (170189): Fitter placement preparation operations beginning Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Info (14951): The Fitter is using Advanced Physical Optimization. Info (170190): Fitter placement preparation operations ending: elapsed time is 00:02:19 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:21 Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Info (11888): Total time spent on timing analysis during Placement is 52.93 seconds. Info (170193): Fitter routing operations beginning Info (170239): Router is attempting to preserve 100.00 percent of routes from an earlier compilation, a user specified Routing Constraints File, or internal routing requirements. Info (170195): Router estimated average interconnect usage is 5% of the available device resources Info (170196): Router estimated peak interconnect usage is 49% of the available device resources in the region that extends from location X35_Y47 to location X46_Y58 Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Info (11888): Total time spent on timing analysis during Routing is 5.35 seconds. Info (16607): Fitter routing operations ending: elapsed time is 00:03:14 Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Info (11888): Total time spent on timing analysis during Post-Routing is 2.53 seconds. Info (16557): Fitter post-fit operations ending: elapsed time is 00:04:47 Warning (12620): Input port OE of I/O output buffer "pcie_smbclk~output" is not connected, but the atom is driving a bi-directional pin Warning (12620): Input port OE of I/O output buffer "pcie_smbdat~output" is not connected, but the atom is driving a bi-directional pin Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. Rebuilding resource usage tables Info: Quartus Prime Fitter was successful. 0 errors, 138 warnings Info: Peak virtual memory: 16406 megabytes Info: Processing ended: Fri Nov 3 13:39:08 2017 Info: Elapsed time: 00:26:54 Info: Total CPU time (on all processors): 01:40:27 Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 17.0.1 Build 293 06/07/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Fri Nov 3 13:39:12 2017 Info: Command: quartus_asm top -c base Info: Using INI file /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/quartus.ini Info: ########################### REFLEXCES XPressA10GX ASSIGNEMENT START: xpressa10gx_pinout.tcl ############################################################ Info: # Processing assignments file starts. Info: # Processing assignments file ends. Info: ########################### REFLEXCES XPressA10GX ASSIGNEMENT END: xpressa10gx_pinout.tcl ############################################################ Info (16677): Loading final database Info (16734): Loading "final" snapshot for partition "root_partition". Info (16734): Loading "final" snapshot for partition "auto_fab_0". Info (16734): Loading "final" snapshot for partition "kernel". Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[0]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[1]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[2]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[3]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[4]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[5]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_debugaccess~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Info (16678): Successfully loaded final database: elapsed time is 00:00:13 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info: Quartus Prime Assembler was successful. 0 errors, 8 warnings Info: Peak virtual memory: 8265 megabytes Info: Processing ended: Fri Nov 3 13:40:54 2017 Info: Elapsed time: 00:01:42 Info: Total CPU time (on all processors): 00:01:38 Info: ******************************************************************* Info: Running Quartus Prime Compiler Database Interface Info: Version 17.0.1 Build 293 06/07/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Fri Nov 3 13:40:56 2017 Info: Command: quartus_cdb top -c base --export_pr_static_block root_partition --snapshot final --file root_partition.qdb Info: Quartus(args): --exclude_pr_subblocks --project top -c base --block_name root_partition --snapshot final --file root_partition.qdb Info: Using INI file /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/quartus.ini Info: ########################### REFLEXCES XPressA10GX ASSIGNEMENT START: xpressa10gx_pinout.tcl ############################################################ Info: # Processing assignments file starts. Info: # Processing assignments file ends. Info: ########################### REFLEXCES XPressA10GX ASSIGNEMENT END: xpressa10gx_pinout.tcl ############################################################ Info: Running design::export_block root_partition -snapshot final -file root_partition.qdb -exclude_pr_subblocks Info (16677): Loading final database Info (16734): Loading "final" snapshot for partition "root_partition". Info (16734): Loading "final" snapshot for partition "auto_fab_0". Info (16734): Loading "final" snapshot for partition "kernel". Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[0]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[1]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[2]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[3]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[4]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_address[5]~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Warning (17912): Partition "freeze_wrapper_inst|kernel_system_inst" contains an output port, "kernel_mem0_debugaccess~OPORT", that connects to partition and has no routing. It is possible that the destination logic was swept away. Consider modifying your design by inserting Wire LUTs so that every partition output has a routed destination. Info (16678): Successfully loaded final database: elapsed time is 00:00:13 Info (23030): Evaluation of Tcl script /home/meven/intelFPGA_pro/17.0/quartus/common/tcl/internal/qatm_export_block.tcl was successful Info: Quartus Prime Compiler Database Interface was successful. 0 errors, 7 warnings Info: Peak virtual memory: 2520 megabytes Info: Processing ended: Fri Nov 3 13:41:22 2017 Info: Elapsed time: 00:00:26 Info: Total CPU time (on all processors): 00:00:21 Info: ******************************************************************* Info: Running Quartus Prime Shell Info: Version 17.0.1 Build 293 06/07/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Fri Nov 3 13:41:23 2017 Info: Command: quartus_sh --archive -input tmp_list_base_bak -output base_bak.qar Info: Quartus(args): -qar -input tmp_list_base_bak -output base_bak.qar Info: Using INI file /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/quartus.ini Info: qar.tcl version #3 Info: Archive will store files relative to the closest common parent directory Info (13213): Using common directory /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ Info: ---------------------------------------------------------- Info: ---------------------------------------------------------- Info: Generated archive 'base_bak.qar' Info: ---------------------------------------------------------- Info: ---------------------------------------------------------- Info (23030): Evaluation of Tcl script /home/meven/intelFPGA_pro/17.0/quartus/common/tcl/apps/qpm/qar.tcl was successful Info: Quartus Prime Shell was successful. 0 errors, 0 warnings Info: Peak virtual memory: 1208 megabytes Info: Processing ended: Fri Nov 3 13:41:24 2017 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 Info: ******************************************************************* Info: Running Quartus Prime Shell Info: Version 17.0.1 Build 293 06/07/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Fri Nov 3 13:41:25 2017 Info: Command: quartus_sh --archive -input bak_list.txt -output qdb.qar Info: Quartus(args): -qar -input bak_list.txt -output qdb.qar Info: Using INI file /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/quartus.ini Info: qar.tcl version #3 Info: Archive will store files relative to the closest common parent directory Info (13213): Using common directory /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/qdb/ Info: ---------------------------------------------------------- Info: ---------------------------------------------------------- Info: Generated archive 'qdb.qar' Info: ---------------------------------------------------------- Info: ---------------------------------------------------------- Info (23030): Evaluation of Tcl script /home/meven/intelFPGA_pro/17.0/quartus/common/tcl/apps/qpm/qar.tcl was successful Info: Quartus Prime Shell was successful. 0 errors, 0 warnings Info: Peak virtual memory: 1210 megabytes Info: Processing ended: Fri Nov 3 13:41:32 2017 Info: Elapsed time: 00:00:07 Info: Total CPU time (on all processors): 00:00:05 Info (125061): Changed top-level design entity name to "top" Info: ########################### REFLEXCES XPressA10GX ASSIGNEMENT START: xpressa10gx_pinout.tcl ############################################################ Info: # Processing assignments file starts. Info: # Processing assignments file ends. Info: ########################### REFLEXCES XPressA10GX ASSIGNEMENT END: xpressa10gx_pinout.tcl ############################################################ Info (125061): Changed top-level design entity name to "kernel_system" Info: ******************************************************************* Info: Running Quartus Prime Synthesis Info: Version 17.0.1 Build 293 06/07/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Fri Nov 3 13:41:33 2017 Info: Command: quartus_syn top -c top_synth Info: Using INI file /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/quartus.ini Info: qis_default_flow_script.tcl version: #1 Info: Initializing Synthesis... Info: Project = "top" Info: Revision = "top_synth" Info: Analyzing source files Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10_core/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10_core/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10_core/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10_core/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10_core/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10_core/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10_core/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10_core/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10_core/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/acl_ddr4_a10_core/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_merlin_traffic_limiter_170/synth/altera_merlin_traffic_limiter.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_traffic_limiter_170/synth/altera_merlin_traffic_limiter.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_merlin_traffic_limiter_170/synth/altera_merlin_reorder_memory.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_traffic_limiter_170/synth/altera_merlin_reorder_memory.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_merlin_traffic_limiter_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_traffic_limiter_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_merlin_traffic_limiter_170/synth/altera_avalon_st_pipeline_base.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_traffic_limiter_170/synth/altera_avalon_st_pipeline_base.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pipe_stage_pcie_to_memwindow_mem/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pipe_stage_dma_rdwr_master/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pr_base_id/version_id_100/synth/version_id.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/version_id_100/synth/version_id.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_memory_bank_divider_ddr4a/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_memory_bank_divider_ddr4a/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_memory_bank_divider_ddr4a/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_memory_bank_divider_ddr4a/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_memory_bank_divider_ddr4a/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_reset_controller_global/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_reset_controller_global/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_reset_controller_global/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pipe_stage_pcie_to_memwindow_ctrl/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pipe_stage_host_ctrl/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_version_id/version_id_100/synth/version_id.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/version_id_100/synth/version_id.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pipe_stage_dma_rd_master/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_address_span_extender_170/synth/altera_address_span_extender.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_memwindow/altera_address_span_extender_170/synth/altera_address_span_extender.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/sw_reset_100/synth/sw_reset.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/sw_reset_100/synth/sw_reset.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/version_id_100/synth/version_id.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/version_id_100/synth/version_id.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_master_agent_170/synth/altera_merlin_master_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_slave_agent_170/synth/altera_merlin_slave_agent.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_slave_agent_170/synth/altera_merlin_burst_uncompressor.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_multiplexer_170/synth/altera_merlin_arbitrator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_handshake_clock_crosser.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_handshake_clock_crosser.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_clock_crosser.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_clock_crosser.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_pipeline_base.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_st_handshake_clock_crosser_170/synth/altera_avalon_st_pipeline_base.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_avalon_st_handshake_clock_crosser_170/synth/altera_std_synchronizer_nocut.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_st_handshake_clock_crosser_170/synth/altera_std_synchronizer_nocut.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_merlin_traffic_limiter_170/synth/altera_merlin_traffic_limiter.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_traffic_limiter_170/synth/altera_merlin_traffic_limiter.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_merlin_traffic_limiter_170/synth/altera_merlin_reorder_memory.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_traffic_limiter_170/synth/altera_merlin_reorder_memory.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_merlin_traffic_limiter_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_traffic_limiter_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_merlin_traffic_limiter_170/synth/altera_avalon_st_pipeline_base.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_traffic_limiter_170/synth/altera_avalon_st_pipeline_base.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_merlin_width_adapter_170/synth/altera_merlin_width_adapter.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_width_adapter_170/synth/altera_merlin_width_adapter.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_merlin_width_adapter_170/synth/altera_merlin_address_alignment.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_width_adapter_170/synth/altera_merlin_address_alignment.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_merlin_width_adapter_170/synth/altera_merlin_burst_uncompressor.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_width_adapter_170/synth/altera_merlin_burst_uncompressor.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_por_reset_counter/sw_reset_100/synth/sw_reset.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/sw_reset_100/synth/sw_reset.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pipe_stage_dma_rdwr_master_512/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_reset_controller_pcie/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_reset_controller_pcie/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_reset_controller_pcie/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_ddr4a_bridge/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_reset_controller_ddr4a_pipe/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_reset_controller_ddr4a_pipe/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_reset_controller_ddr4a_pipe/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/pipe_stage_ddr4a_dimm_1/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/pipe_stage_ddr4a_dimm_2/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_ddr4_calibrate/sw_reset_100/synth/sw_reset.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/sw_reset_100/synth/sw_reset.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_pipe_stage_ddr4a_dimm/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_reset_controller_ddr4a/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_reset_controller_ddr4a/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_reset_controller_ddr4a/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/pipe_stage_ddr4a_dimm_post_2nd_1/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/pipe_stage_ddr4a_dimm_post_2nd_2/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_clock_cross_kernel_to_ddr4a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_mm_clock_crossing_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_clock_cross_pcie_to_ddr4a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_mm_clock_crossing_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_clock_cross_kernel_to_ddr4a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_clock_cross_pcie_to_ddr4a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_clock_cross_kernel_to_ddr4a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_dcfifo_synchronizer_bundle.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_clock_cross_pcie_to_ddr4a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_dcfifo_synchronizer_bundle.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_clock_cross_kernel_to_ddr4a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_std_synchronizer_nocut.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_clock_cross_pcie_to_ddr4a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_std_synchronizer_nocut.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_clock_cross_kernel_to_ddr4a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10/acl_ddr4_a10_clock_cross_pcie_to_ddr4a/altera_avalon_mm_clock_crossing_bridge_170/synth/altera_avalon_dc_fifo.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/kernel_system/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/kernel_system/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/kernel_system/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/kernel_system/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_kernel_sender_cra_cra_ring.ip - no such file exists Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_reorder_const_cra_cra_ring.ip - no such file exists Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_kernel_irq/altera_irq_bridge_170/synth/altera_irq_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_interface/altera_irq_bridge_170/synth/altera_irq_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_mem_writestream_cra_cra_ring.ip - no such file exists Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_kernel_cra/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Warning (16124): Can't analyze file ip/kernel_system/kernel_system_boardtest_system.ip - no such file exists Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_kernel_mem0/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_nop_cra_cra_ring.ip - no such file exists Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_kernel_receiver_cra_cra_ring.ip - no such file exists Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_mem_readstream_cra_cra_ring.ip - no such file exists Warning (16124): Can't analyze file ip/kernel_system/kernel_system_avs_mem_read_writestream_cra_cra_ring.ip - no such file exists Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10_core/acl_ddr4_a10_core_pipe_stage_ddr4a_dimm_post_4th/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10_core/acl_ddr4_a10_core_ddr4a/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_kernel_clk_gen/altera_avalon_mm_bridge_170/synth/altera_avalon_mm_bridge.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10_core/acl_ddr4_a10_core_ddr4a/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_avalon_sc_fifo_170/synth/altera_avalon_sc_fifo.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10_core/acl_ddr4_a10_core_ddr4a/altera_reset_controller_170/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10_core/acl_ddr4_a10_core_ddr4a/altera_reset_controller_170/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10_core/acl_ddr4_a10_core_ddr4a/altera_reset_controller_170/synth/altera_reset_controller.sdc" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_reset_controller_170/synth/altera_reset_controller.sdc" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10_core/acl_ddr4_a10_core_ddr4a/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_master_translator_170/synth/altera_merlin_master_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/acl_ddr4_a10_core/acl_ddr4_a10_core_ddr4a/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/board/altera_merlin_slave_translator_170/synth/altera_merlin_slave_translator.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (18237): File "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_avs_test_builtin_cra_cra_ring/cra_ring_node_10/synth/cra_ring_node.sv" is a duplicate of already analyzed file "/home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_avs_test_lib_cra_cra_ring/cra_ring_node_10/synth/cra_ring_node.sv" (same filename, same library name and same md5 digest). Skipping analysis of this file. Warning (13468): Verilog HDL Expression warning at altpcieav128_dma_wr_wdalign.sv(317): truncated literal to match 7 bits File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/board/board_pcie/altera_pcie_a10_hip_170/synth/altpcieav128_dma_wr_wdalign.sv Line: 317 Error (13661): VHDL Association List error at i_sfc_logic_c0_entry_test_builtin_c0_enter31.vhd(187): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_entry_test_builtin_c0_enter31.vhd Line: 187 Error (13661): VHDL Association List error at i_sfc_logic_c0_entry_test_builtin_c0_enter31.vhd(274): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_entry_test_builtin_c0_enter31.vhd Line: 274 Error (13661): VHDL Association List error at i_sfc_logic_c0_entry_test_builtin_c0_enter31.vhd(330): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_entry_test_builtin_c0_enter31.vhd Line: 330 Error (13661): VHDL Association List error at i_sfc_logic_c0_entry_test_builtin_c0_enter31.vhd(383): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_entry_test_builtin_c0_enter31.vhd Line: 383 Error (13827): Ignored construct normal at i_sfc_logic_c0_entry_test_builtin_c0_enter31.vhd(411) due to previous errors File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_entry_test_builtin_c0_enter31.vhd Line: 411 Error (13661): VHDL Association List error at bb_Block4_stall_region.vhd(1661): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/bb_Block4_stall_region.vhd Line: 1661 Error (13827): Ignored construct normal at bb_Block4_stall_region.vhd(1691) due to previous errors File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/bb_Block4_stall_region.vhd Line: 1691 Error (13661): VHDL Association List error at i_sfc_logic_c0_for_body_test_builtin_c0_enter451.vhd(127): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_for_body_test_builtin_c0_enter451.vhd Line: 127 Error (13661): VHDL Association List error at i_sfc_logic_c0_for_body_test_builtin_c0_enter451.vhd(142): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_for_body_test_builtin_c0_enter451.vhd Line: 142 Error (13661): VHDL Association List error at i_sfc_logic_c0_for_body_test_builtin_c0_enter451.vhd(157): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_for_body_test_builtin_c0_enter451.vhd Line: 157 Error (13661): VHDL Association List error at i_sfc_logic_c0_for_body_test_builtin_c0_enter451.vhd(222): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_for_body_test_builtin_c0_enter451.vhd Line: 222 Error (13661): VHDL Association List error at i_sfc_logic_c0_for_body_test_builtin_c0_enter451.vhd(281): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_for_body_test_builtin_c0_enter451.vhd Line: 281 Error (13827): Ignored construct normal at i_sfc_logic_c0_for_body_test_builtin_c0_enter451.vhd(304) due to previous errors File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_for_body_test_builtin_c0_enter451.vhd Line: 304 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(739): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 739 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(763): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 763 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(769): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 769 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(774): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 774 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(852): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 852 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(858): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 858 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(870): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 870 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(878): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 878 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(892): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 892 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(926): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 926 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(931): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 931 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(939): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 939 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(944): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 944 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(967): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 967 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(1041): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 1041 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(1047): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 1047 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(1052): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 1052 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(1057): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 1057 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd(1085): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_recipSqrtBlock_tA0Z2i0m6140i0k62a5u.vhd Line: 1085 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(942): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 942 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(966): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 966 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(972): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 972 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(977): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 977 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(1122): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 1122 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(1128): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 1128 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(1140): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 1140 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(1151): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 1151 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(1163): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 1163 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(1184): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 1184 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(1195): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 1195 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(1222): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 1222 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(1227): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 1227 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(1232): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 1232 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(1237): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 1237 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(1260): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 1260 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(1347): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 1347 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(1365): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 1365 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd(1387): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_sqrtBlock_typeSFA0Zof03p06o303d0doz.vhd Line: 1387 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1571): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1571 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1576): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1576 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1590): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1590 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1602): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1602 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1607): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1607 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1618): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1618 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1647): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1647 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1658): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1658 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1670): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1670 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1675): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1675 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1686): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1686 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1695): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1695 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1700): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1700 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1706): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1706 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1711): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1711 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1859): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1859 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1880): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1880 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1885): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1885 Error (13661): VHDL Association List error at floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd(1922): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/floatComponent_i_sfc_logic_c0_for_body_test_builtin_c0_enter451_divideBlock_typeA0Z0k614004ki02975u.vhd Line: 1922 Error (13661): VHDL Association List error at i_sfc_logic_c0_entry_test_lib_c0_enter0.vhd(187): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_entry_test_lib_c0_enter0.vhd Line: 187 Error (13661): VHDL Association List error at i_sfc_logic_c0_entry_test_lib_c0_enter0.vhd(274): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_entry_test_lib_c0_enter0.vhd Line: 274 Error (13661): VHDL Association List error at i_sfc_logic_c0_entry_test_lib_c0_enter0.vhd(330): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_entry_test_lib_c0_enter0.vhd Line: 330 Error (13661): VHDL Association List error at i_sfc_logic_c0_entry_test_lib_c0_enter0.vhd(383): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_entry_test_lib_c0_enter0.vhd Line: 383 Error (13827): Ignored construct normal at i_sfc_logic_c0_entry_test_lib_c0_enter0.vhd(411) due to previous errors File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_entry_test_lib_c0_enter0.vhd Line: 411 Error (13661): VHDL Association List error at bb_Block1_stall_region.vhd(1812): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/bb_Block1_stall_region.vhd Line: 1812 Error (13827): Ignored construct normal at bb_Block1_stall_region.vhd(1854) due to previous errors File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/bb_Block1_stall_region.vhd Line: 1854 Error (13661): VHDL Association List error at i_sfc_logic_c0_for_body_test_lib_c0_enter416.vhd(165): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_for_body_test_lib_c0_enter416.vhd Line: 165 Error (13661): VHDL Association List error at i_sfc_logic_c0_for_body_test_lib_c0_enter416.vhd(170): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_for_body_test_lib_c0_enter416.vhd Line: 170 Error (13661): VHDL Association List error at i_sfc_logic_c0_for_body_test_lib_c0_enter416.vhd(175): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_for_body_test_lib_c0_enter416.vhd Line: 175 Error (13661): VHDL Association List error at i_sfc_logic_c0_for_body_test_lib_c0_enter416.vhd(180): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_for_body_test_lib_c0_enter416.vhd Line: 180 Error (13661): VHDL Association List error at i_sfc_logic_c0_for_body_test_lib_c0_enter416.vhd(245): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_for_body_test_lib_c0_enter416.vhd Line: 245 Error (13827): Ignored construct normal at i_sfc_logic_c0_for_body_test_lib_c0_enter416.vhd(470) due to previous errors File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_sfc_logic_c0_for_body_test_lib_c0_enter416.vhd Line: 470 Error (13661): VHDL Association List error at i_ext_iord_unnamed118.vhd(214): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_ext_iord_unnamed118.vhd Line: 214 Error (13661): VHDL Association List error at i_ext_iord_unnamed118.vhd(219): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_ext_iord_unnamed118.vhd Line: 219 Error (13827): Ignored construct normal at i_ext_iord_unnamed118.vhd(271) due to previous errors File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_ext_iord_unnamed118.vhd Line: 271 Error (13661): VHDL Association List error at i_ext_iord_unnamed220.vhd(214): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_ext_iord_unnamed220.vhd Line: 214 Error (13661): VHDL Association List error at i_ext_iord_unnamed220.vhd(219): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_ext_iord_unnamed220.vhd Line: 219 Error (13827): Ignored construct normal at i_ext_iord_unnamed220.vhd(271) due to previous errors File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_ext_iord_unnamed220.vhd Line: 271 Error (13661): VHDL Association List error at i_ext_iord_unnamed322.vhd(202): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_ext_iord_unnamed322.vhd Line: 202 Error (13661): VHDL Association List error at i_ext_iord_unnamed322.vhd(207): formal "reset_kind" does not exist File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_ext_iord_unnamed322.vhd Line: 207 Error (13827): Ignored construct normal at i_ext_iord_unnamed322.vhd(276) due to previous errors File: /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/ip/kernel_system/kernel_system_example1_system/example1_system_140/synth/i_ext_iord_unnamed322.vhd Line: 276 Warning (16761): VHDL warning at sld_mod_ram_rom.vhd(477): actual for formal port "ena" is neither a static name nor a globally static expression File: /home/meven/intelFPGA_pro/17.0/quartus/libraries/megafunctions/sld_mod_ram_rom.vhd Line: 477 Warning (16761): VHDL warning at sld_mod_ram_rom.vhd(506): actual for formal port "ena" is neither a static name nor a globally static expression File: /home/meven/intelFPGA_pro/17.0/quartus/libraries/megafunctions/sld_mod_ram_rom.vhd Line: 506 Warning (16761): VHDL warning at sld_mod_ram_rom.vhd(887): actual for formal port "ena" is neither a static name nor a globally static expression File: /home/meven/intelFPGA_pro/17.0/quartus/libraries/megafunctions/sld_mod_ram_rom.vhd Line: 887 Warning (16761): VHDL warning at sld_mod_ram_rom.vhd(916): actual for formal port "ena" is neither a static name nor a globally static expression File: /home/meven/intelFPGA_pro/17.0/quartus/libraries/megafunctions/sld_mod_ram_rom.vhd Line: 916 Warning (16761): VHDL warning at altsource_probe_body.vhd(767): actual for formal port "ena" is neither a static name nor a globally static expression File: /home/meven/intelFPGA_pro/17.0/quartus/libraries/megafunctions/altsource_probe_body.vhd Line: 767 Warning (16761): VHDL warning at altsource_probe_body.vhd(787): actual for formal port "ena" is neither a static name nor a globally static expression File: /home/meven/intelFPGA_pro/17.0/quartus/libraries/megafunctions/altsource_probe_body.vhd Line: 787 Error: Flow failed: Error: Quartus Prime Synthesis was unsuccessful. 93 errors, 15 warnings Error: Peak virtual memory: 1633 megabytes Error: Processing ended: Fri Nov 3 13:42:17 2017 Error: Elapsed time: 00:00:44 Error: Total CPU time (on all processors): 00:00:53 Info: ******************************************************************* Info: Running Quartus Prime Compiler Database Interface Info: Version 17.0.1 Build 293 06/07/2017 SJ Pro Edition Info: Copyright (C) 2017 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Intel and sold by Intel or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Fri Nov 3 12:53:42 2017 Info: Command: quartus_cdb -t import_compile.tcl Info: Using INI file /home_nfs/bourgea/project/xpress_rtl_lib1/library_example1/bin/example1/quartus.ini Info: Successfully completed BAK flow Info: To reduce compile time on future compiles, you can generate a BAK cache by adding the arguments '--bsp-flow regenerate_cache' to aoc to skip BAK Error (23031): Evaluation of Tcl script import_compile.tcl unsuccessful Error: Quartus Prime Compiler Database Interface was unsuccessful. 1 error, 0 warnings Error: Peak virtual memory: 1227 megabytes Error: Processing ended: Fri Nov 3 13:42:18 2017 Error: Elapsed time: 00:48:36 Error: Total CPU time (on all processors): 02:01:38