Info: Starting: Create simulation model Info: qsys-generate "C:\Network mirror\Firmware\FW069\Source Files\DE10_Standard_GHRD\soc_system.qsys" --simulation=VERILOG --allow-mixed-language-simulation --output-directory="C:\Network mirror\Firmware\FW069\Source Files\DE10_Standard_GHRD\soc_system\simulation" --family="Cyclone V" --part=5CSXFC6D6F31C6 Progress: Loading DE10_Standard_GHRD/soc_system.qsys Progress: Reading input file Progress: Adding ILC [interrupt_latency_counter 18.0] Progress: Parameterizing module ILC Progress: Adding button_pio [altera_avalon_pio 18.0] Progress: Parameterizing module button_pio Progress: Adding clk_0 [clock_source 18.0] Progress: Parameterizing module clk_0 Progress: Adding dipsw_pio [altera_avalon_pio 18.0] Progress: Parameterizing module dipsw_pio Progress: Adding f2sdram_only_master [altera_jtag_avalon_master 18.0] Progress: Parameterizing module f2sdram_only_master Progress: Adding fpga_only_master [altera_jtag_avalon_master 18.0] Progress: Parameterizing module fpga_only_master Progress: Adding hps_0 [altera_hps 18.0] Progress: Parameterizing module hps_0 Progress: Adding hps_only_master [altera_jtag_avalon_master 18.0] Progress: Parameterizing module hps_only_master Progress: Adding jtag_uart [altera_avalon_jtag_uart 18.0] Progress: Parameterizing module jtag_uart Progress: Adding led_pio [altera_avalon_pio 18.0] Progress: Parameterizing module led_pio Progress: Adding mm_bridge_0 [altera_avalon_mm_bridge 18.0] Progress: Parameterizing module mm_bridge_0 Progress: Adding sysid_qsys [altera_avalon_sysid_qsys 18.0] Progress: Parameterizing module sysid_qsys Progress: Building connections Progress: Parameterizing connections Progress: Validating Progress: Done reading input file Info: soc_system.button_pio: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation. Info: soc_system.dipsw_pio: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation. Info: soc_system.hps_0: HPS Main PLL counter settings: n = 0 m = 73 Info: soc_system.hps_0: HPS peripherial PLL counter settings: n = 0 m = 39 Warning: soc_system.hps_0: "Configuration/HPS-to-FPGA user 0 clock frequency" (desired_cfg_clk_mhz) requested 100.0 MHz, but only achieved 97.368421 MHz Warning: soc_system.hps_0: "QSPI clock frequency" (desired_qspi_clk_mhz) requested 400.0 MHz, but only achieved 370.0 MHz Warning: soc_system.hps_0: 1 or more output clock frequencies cannot be achieved precisely, consider revising desired output clock frequencies. Info: soc_system.jtag_uart: JTAG UART IP input clock need to be at least double (2x) the operating frequency of JTAG TCK on board Info: soc_system.sysid_qsys: System ID is not assigned automatically. Edit the System ID parameter to provide a unique ID Info: soc_system.sysid_qsys: Time stamp will be automatically updated when this component is generated. Info: soc_system: Generating soc_system "soc_system" for SIM_VERILOG Info: Interconnect is inserted between master hps_0.h2f_lw_axi_master and slave mm_bridge_0.s0 because the master is of type axi and the slave is of type avalon. Info: Interconnect is inserted between master hps_only_master.master and slave hps_0.f2h_axi_slave because the master is of type avalon and the slave is of type axi. Info: Interconnect is inserted between master f2sdram_only_master.master and slave hps_0.f2h_sdram0_data because the master has address signal 32 bit wide, but the slave is 27 bit wide. Info: Interconnect is inserted between master f2sdram_only_master.master and slave hps_0.f2h_sdram0_data because the master has readdata signal 32 bit wide, but the slave is 256 bit wide. Info: Interconnect is inserted between master f2sdram_only_master.master and slave hps_0.f2h_sdram0_data because the master has writedata signal 32 bit wide, but the slave is 256 bit wide. Info: Interconnect is inserted between master f2sdram_only_master.master and slave hps_0.f2h_sdram0_data because the master has byteenable signal 4 bit wide, but the slave is 32 bit wide. Warning: hps_0.f2h_irq0: Cannot connect clock for irq_mapper_001.sender Warning: hps_0.f2h_irq0: Cannot connect reset for irq_mapper_001.sender Warning: hps_0.f2h_irq1: Cannot connect clock for irq_mapper_002.sender Warning: hps_0.f2h_irq1: Cannot connect reset for irq_mapper_002.sender Info: ILC: "soc_system" instantiated interrupt_latency_counter "ILC" Info: button_pio: Starting RTL generation for module 'soc_system_button_pio' Info: button_pio: Generation command is [exec C:/programfiles/altera/18.0/quartus/bin64/perl/bin/perl.exe -I C:/programfiles/altera/18.0/quartus/bin64/perl/lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/europa -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/perl_lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=soc_system_button_pio --dir=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0134_button_pio_gen/ --quartus_dir=C:/programfiles/altera/18.0/quartus --verilog --config=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0134_button_pio_gen//soc_system_button_pio_component_configuration.pl --do_build_sim=1 --sim_dir=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0134_button_pio_gen/ ] Info: button_pio: Done RTL generation for module 'soc_system_button_pio' Info: button_pio: "soc_system" instantiated altera_avalon_pio "button_pio" Info: dipsw_pio: Starting RTL generation for module 'soc_system_dipsw_pio' Info: dipsw_pio: Generation command is [exec C:/programfiles/altera/18.0/quartus/bin64/perl/bin/perl.exe -I C:/programfiles/altera/18.0/quartus/bin64/perl/lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/europa -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/perl_lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=soc_system_dipsw_pio --dir=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0135_dipsw_pio_gen/ --quartus_dir=C:/programfiles/altera/18.0/quartus --verilog --config=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0135_dipsw_pio_gen//soc_system_dipsw_pio_component_configuration.pl --do_build_sim=1 --sim_dir=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0135_dipsw_pio_gen/ ] Info: dipsw_pio: Done RTL generation for module 'soc_system_dipsw_pio' Info: dipsw_pio: "soc_system" instantiated altera_avalon_pio "dipsw_pio" Info: f2sdram_only_master: "soc_system" instantiated altera_jtag_avalon_master "f2sdram_only_master" Info: hps_0: "Running for module: hps_0" Info: hps_0: HPS Main PLL counter settings: n = 0 m = 73 Info: hps_0: HPS peripherial PLL counter settings: n = 0 m = 39 Warning: hps_0: "Configuration/HPS-to-FPGA user 0 clock frequency" (desired_cfg_clk_mhz) requested 100.0 MHz, but only achieved 97.368421 MHz Warning: hps_0: "QSPI clock frequency" (desired_qspi_clk_mhz) requested 400.0 MHz, but only achieved 370.0 MHz Warning: hps_0: 1 or more output clock frequencies cannot be achieved precisely, consider revising desired output clock frequencies. Info: hps_0: "soc_system" instantiated altera_hps "hps_0" Info: jtag_uart: Starting RTL generation for module 'soc_system_jtag_uart' Info: jtag_uart: Generation command is [exec C:/programfiles/altera/18.0/quartus/bin64/perl/bin/perl.exe -I C:/programfiles/altera/18.0/quartus/bin64/perl/lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/europa -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/perl_lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=soc_system_jtag_uart --dir=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0136_jtag_uart_gen/ --quartus_dir=C:/programfiles/altera/18.0/quartus --verilog --config=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0136_jtag_uart_gen//soc_system_jtag_uart_component_configuration.pl --do_build_sim=1 --sim_dir=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0136_jtag_uart_gen/ ] Info: jtag_uart: Done RTL generation for module 'soc_system_jtag_uart' Info: jtag_uart: "soc_system" instantiated altera_avalon_jtag_uart "jtag_uart" Info: led_pio: Starting RTL generation for module 'soc_system_led_pio' Info: led_pio: Generation command is [exec C:/programfiles/altera/18.0/quartus/bin64/perl/bin/perl.exe -I C:/programfiles/altera/18.0/quartus/bin64/perl/lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/europa -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/perl_lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=soc_system_led_pio --dir=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0137_led_pio_gen/ --quartus_dir=C:/programfiles/altera/18.0/quartus --verilog --config=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0137_led_pio_gen//soc_system_led_pio_component_configuration.pl --do_build_sim=1 --sim_dir=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0137_led_pio_gen/ ] Info: led_pio: Done RTL generation for module 'soc_system_led_pio' Info: led_pio: "soc_system" instantiated altera_avalon_pio "led_pio" Info: mm_bridge_0: "soc_system" instantiated altera_avalon_mm_bridge "mm_bridge_0" Info: sysid_qsys: "soc_system" instantiated altera_avalon_sysid_qsys "sysid_qsys" Info: avalon_st_adapter: Inserting error_adapter: error_adapter_0 Info: mm_interconnect_0: "soc_system" instantiated altera_mm_interconnect "mm_interconnect_0" Info: avalon_st_adapter: Inserting error_adapter: error_adapter_0 Info: avalon_st_adapter_001: Inserting error_adapter: error_adapter_0 Info: avalon_st_adapter_002: Inserting error_adapter: error_adapter_0 Info: avalon_st_adapter_003: Inserting error_adapter: error_adapter_0 Info: avalon_st_adapter_004: Inserting error_adapter: error_adapter_0 Info: avalon_st_adapter_005: Inserting error_adapter: error_adapter_0 Info: mm_interconnect_1: "soc_system" instantiated altera_mm_interconnect "mm_interconnect_1" Info: mm_interconnect_2: "soc_system" instantiated altera_mm_interconnect "mm_interconnect_2" Info: avalon_st_adapter: Inserting error_adapter: error_adapter_0 Info: mm_interconnect_3: "soc_system" instantiated altera_mm_interconnect "mm_interconnect_3" Info: irq_mapper: "soc_system" instantiated altera_irq_mapper "irq_mapper" Info: irq_mapper_001: "soc_system" instantiated altera_irq_mapper "irq_mapper_001" Info: irq_mapper_002: "soc_system" instantiated altera_irq_mapper "irq_mapper_002" Info: rst_controller: "soc_system" instantiated altera_reset_controller "rst_controller" Info: jtag_phy_embedded_in_jtag_master: "f2sdram_only_master" instantiated altera_jtag_dc_streaming "jtag_phy_embedded_in_jtag_master" Info: timing_adt: "f2sdram_only_master" instantiated timing_adapter "timing_adt" Info: fifo: "f2sdram_only_master" instantiated altera_avalon_sc_fifo "fifo" Info: b2p: "f2sdram_only_master" instantiated altera_avalon_st_bytes_to_packets "b2p" Info: p2b: "f2sdram_only_master" instantiated altera_avalon_st_packets_to_bytes "p2b" Info: transacto: "f2sdram_only_master" instantiated altera_avalon_packets_to_master "transacto" Info: b2p_adapter: "f2sdram_only_master" instantiated channel_adapter "b2p_adapter" Info: p2b_adapter: "f2sdram_only_master" instantiated channel_adapter "p2b_adapter" Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: Error during execution of "{C:/programfiles/altera/18.0/quartus/../nios2eds/Nios II Command Shell.bat} make all 2>> stderr.txt": child process exited abnormally Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: Execution of command "{C:/programfiles/altera/18.0/quartus/../nios2eds/Nios II Command Shell.bat} make all 2>> stderr.txt" failed Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: Authorized application C:\ProgramFiles\altera\18.0\quartus\bin64\jtagserver.exe is enabled in the firewall. Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: ]2;Altera Nios II EDS 18.0 [gcc4]C:/programfiles/altera/18.0/quartus/bin64/uniphy_mcc -ac_code sequencer_mc/ac_rom.s -inst_code sequencer_mc/inst_rom.s -ac_rom ../hps_AC_ROM.hex -inst_rom ../hps_inst_ROM.hex -header sequencer/sequencer_auto.h -vheader ../sequencer_auto_h.sv -ac_rom_init sequencer/sequencer_auto_ac_init.c -inst_rom_init sequencer/sequencer_auto_inst_init.c -DAC_ROM_USER_ADD_0=0_0000_0000_0000 -DAC_ROM_USER_ADD_1=0_0000_0000_1000 -DAC_ROM_MR0=0010001110001 -DAC_ROM_MR0_CALIB= -DAC_ROM_MR0_DLL_RESET=0010101110000 -DAC_ROM_MR1=0000001000100 -DAC_ROM_MR1_OCD_ENABLE= -DAC_ROM_MR2=0000000010000 -DAC_ROM_MR3=0000000000000 -DAC_ROM_MR0_MIRR=0010001101001 -DAC_ROM_MR0_DLL_RESET_MIRR=0010011101000 -DAC_ROM_MR1_MIRR=0000000100100 -DAC_ROM_MR2_MIRR=0000000001000 -DAC_ROM_MR3_MIRR=0000000000000 -DQUARTER_RATE=0 -DHALF_RATE=0 -DFULL_RATE=1 -DNON_DES_CAL=0 -DAP_MODE=0 -DGUARANTEED_READ_BRINGUP_TEST=0 -DMEM_ADDR_WIDTH=13 -DHARD_PHY=1 Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: UniPHY Sequencer Microcode Compiler Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: Copyright (C) 2018 Intel Corporation. All rights reserved. Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: Info: Reading sequencer_mc/ac_rom.s ... Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: Info: Reading sequencer_mc/inst_rom.s ... Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: Info: Writing ../hps_AC_ROM.hex ... Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: Info: Writing ../hps_inst_ROM.hex ... Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: Info: Writing sequencer/sequencer_auto_ac_init.c ... Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: Info: Writing sequencer/sequencer_auto_inst_init.c ... Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: Info: Writing sequencer/sequencer_auto.h ... Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: Info: Writing sequencer/sequencer_auto.h ... Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: Info: Writing ../sequencer_auto_h.sv ... Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: Info: Microcode compilation successful Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: C:/programfiles/altera/18.0/quartus/../nios2eds/sdk2/bin/nios2-bsp hal sequencer_bsp .. --default_sections_mapping sequencer_mem --use_bootloader DONT_CHANGE Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: child process exited abnormally Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: s0: add_fileset_file: No such file C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_8088726893579352128.dir/0006_s0_gen/hps_sequencer_mem.hex Error: fpga_interfaces: Error during execution of script generate_hps_sdram.tcl: Generation stopped, 10 or more modules remaining Error: fpga_interfaces: Execution of script generate_hps_sdram.tcl failed Error: fpga_interfaces: 2019.02.14.14:13:27 Info: Error: fpga_interfaces: ******************************************************************************************************************** Error: fpga_interfaces: Error: fpga_interfaces: Use qsys-generate for a simpler command-line interface for generating IP. Error: fpga_interfaces: Error: fpga_interfaces: Run ip-generate with switch --remove-qsys-generate-warning to prevent this notice from appearing in subsequent runs. Error: fpga_interfaces: Error: fpga_interfaces: ******************************************************************************************************************** Error: fpga_interfaces: 2019.02.14.14:13:31 Warning: Ignored parameter assignment device=5CSXFC6D6F31C6 Error: fpga_interfaces: 2019.02.14.14:13:31 Warning: Ignored parameter assignment extended_family_support=true Error: fpga_interfaces: 2019.02.14.14:13:36 Warning: hps_sdram: 'Quick' simulation modes are NOT timing accurate. Some simulation memory models may issue warnings or errors Error: fpga_interfaces: 2019.02.14.14:13:36 Info: hps_sdram.pll_ref_clk: Elaborate: altera_clock_source Error: fpga_interfaces: 2019.02.14.14:13:36 Info: hps_sdram.pll_ref_clk: $Revision: #2 $ Error: fpga_interfaces: 2019.02.14.14:13:36 Info: hps_sdram.pll_ref_clk: $Date: 2018/03/09 $ Error: fpga_interfaces: 2019.02.14.14:13:36 Info: hps_sdram.global_reset: Elaborate: altera_reset_source Error: fpga_interfaces: 2019.02.14.14:13:36 Info: hps_sdram.global_reset: $Revision: #2 $ Error: fpga_interfaces: 2019.02.14.14:13:36 Info: hps_sdram.global_reset: $Date: 2018/03/09 $ Error: fpga_interfaces: 2019.02.14.14:13:36 Info: hps_sdram.global_reset: Reset is negatively asserted. Error: fpga_interfaces: 2019.02.14.14:13:36 Warning: hps_sdram.p0: p0.oct_sharing must be exported, or connected to a matching conduit. Error: fpga_interfaces: 2019.02.14.14:13:36 Warning: hps_sdram.p0: p0.io_int must be exported, or connected to a matching conduit. Error: fpga_interfaces: 2019.02.14.14:13:36 Warning: hps_sdram.sequencer_mem: sequencer_mem.clken1 must be exported, or connected to a matching conduit. Error: fpga_interfaces: 2019.02.14.14:13:36 Warning: hps_sdram.oct: oct.oct must be exported, or connected to a matching conduit. Error: fpga_interfaces: 2019.02.14.14:13:36 Warning: hps_sdram.oct: oct.oct_sharing must be exported, or connected to a matching conduit. Error: fpga_interfaces: 2019.02.14.14:13:36 Info: hps_sdram: Generating altera_mem_if_hps_emif "hps_sdram" for SIM_VERILOG Error: fpga_interfaces: 2019.02.14.14:13:39 Info: Interconnect is inserted between master s0.mmr_avl and slave c0.csr because the master has burstcount signal 1 bit wide, but the slave is 0 bit wide. Error: fpga_interfaces: 2019.02.14.14:13:40 Info: pll_ref_clk: "hps_sdram" instantiated altera_avalon_clock_source "pll_ref_clk" Error: fpga_interfaces: 2019.02.14.14:13:40 Info: global_reset: "hps_sdram" instantiated altera_avalon_reset_source "global_reset" Error: fpga_interfaces: 2019.02.14.14:13:40 Info: Reusing file C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0152_fpga_interfaces_gen/submodules/verbosity_pkg.sv Error: fpga_interfaces: 2019.02.14.14:13:40 Info: pll: "hps_sdram" instantiated altera_mem_if_hps_pll "pll" Error: fpga_interfaces: 2019.02.14.14:13:40 Info: p0: Generating clock pair generator Error: fpga_interfaces: 2019.02.14.14:13:41 Info: p0: Generating hps_sdram_p0_altdqdqs Error: fpga_interfaces: 2019.02.14.14:13:46 Info: p0: "hps_sdram" instantiated altera_mem_if_ddr3_hard_phy_core "p0" Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: Error during execution of "{C:/programfiles/altera/18.0/quartus/../nios2eds/Nios II Command Shell.bat} make all 2>> stderr.txt": child process exited abnormally Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: Execution of command "{C:/programfiles/altera/18.0/quartus/../nios2eds/Nios II Command Shell.bat} make all 2>> stderr.txt" failed Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: Authorized application C:\ProgramFiles\altera\18.0\quartus\bin64\jtagserver.exe is enabled in the firewall. Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: ]2;Altera Nios II EDS 18.0 [gcc4]C:/programfiles/altera/18.0/quartus/bin64/uniphy_mcc -ac_code sequencer_mc/ac_rom.s -inst_code sequencer_mc/inst_rom.s -ac_rom ../hps_AC_ROM.hex -inst_rom ../hps_inst_ROM.hex -header sequencer/sequencer_auto.h -vheader ../sequencer_auto_h.sv -ac_rom_init sequencer/sequencer_auto_ac_init.c -inst_rom_init sequencer/sequencer_auto_inst_init.c -DAC_ROM_USER_ADD_0=0_0000_0000_0000 -DAC_ROM_USER_ADD_1=0_0000_0000_1000 -DAC_ROM_MR0=0010001110001 -DAC_ROM_MR0_CALIB= -DAC_ROM_MR0_DLL_RESET=0010101110000 -DAC_ROM_MR1=0000001000100 -DAC_ROM_MR1_OCD_ENABLE= -DAC_ROM_MR2=0000000010000 -DAC_ROM_MR3=0000000000000 -DAC_ROM_MR0_MIRR=0010001101001 -DAC_ROM_MR0_DLL_RESET_MIRR=0010011101000 -DAC_ROM_MR1_MIRR=0000000100100 -DAC_ROM_MR2_MIRR=0000000001000 -DAC_ROM_MR3_MIRR=0000000000000 -DQUARTER_RATE=0 -DHALF_RATE=0 -DFULL_RATE=1 -DNON_DES_CAL=0 -DAP_MODE=0 -DGUARANTEED_READ_BRINGUP_TEST=0 -DMEM_ADDR_WIDTH=13 -DHARD_PHY=1 Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: UniPHY Sequencer Microcode Compiler Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: Copyright (C) 2018 Intel Corporation. All rights reserved. Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: Info: Reading sequencer_mc/ac_rom.s ... Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: Info: Reading sequencer_mc/inst_rom.s ... Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: Info: Writing ../hps_AC_ROM.hex ... Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: Info: Writing ../hps_inst_ROM.hex ... Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: Info: Writing sequencer/sequencer_auto_ac_init.c ... Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: Info: Writing sequencer/sequencer_auto_inst_init.c ... Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: Info: Writing sequencer/sequencer_auto.h ... Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: Info: Writing sequencer/sequencer_auto.h ... Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: Info: Writing ../sequencer_auto_h.sv ... Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: Info: Microcode compilation successful Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: C:/programfiles/altera/18.0/quartus/../nios2eds/sdk2/bin/nios2-bsp hal sequencer_bsp .. --default_sections_mapping sequencer_mem --use_bootloader DONT_CHANGE Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: child process exited abnormally Error: fpga_interfaces: 2019.02.14.14:13:47 Error: s0: add_fileset_file: No such file C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_8088726893579352128.dir/0006_s0_gen/hps_sequencer_mem.hex Error: fpga_interfaces: while executing Error: fpga_interfaces: "add_fileset_file $file_name [::alt_mem_if::util::hwtcl_utils::get_file_type $file_name 0] PATH $file_pathname" Error: fpga_interfaces: ("foreach" body line 4) Error: fpga_interfaces: invoked from within Error: fpga_interfaces: "foreach file_pathname $return_files_sw { Error: fpga_interfaces: _dprint 1 "Preparing to add $file_pathname" Error: fpga_interfaces: set file_name [file tail $file_pathname] Error: fpga_interfaces: add_fileset_file $..." Error: fpga_interfaces: (procedure "generate_sw" line 18) Error: fpga_interfaces: invoked from within Error: fpga_interfaces: "generate_sw $name $fileset" Error: fpga_interfaces: (procedure "generate_files" line 37) Error: fpga_interfaces: invoked from within Error: fpga_interfaces: "generate_files $name SIM_VERILOG" Error: fpga_interfaces: (procedure "generate_verilog_sim" line 3) Error: fpga_interfaces: invoked from within Error: fpga_interfaces: "generate_verilog_sim altera_mem_if_hhp_qseq_top" Error: fpga_interfaces: 2019.02.14.14:13:47 Info: s0: "hps_sdram" instantiated altera_mem_if_hhp_ddr3_qseq "s0" Error: fpga_interfaces: 2019.02.14.14:13:47 Error: Generation stopped, 10 or more modules remaining Error: fpga_interfaces: 2019.02.14.14:13:47 Info: hps_sdram: Done "hps_sdram" with 16 modules, 61 files Info: fpga_interfaces: "hps_0" instantiated altera_interface_generator "fpga_interfaces" Error: Generation stopped, 102 or more modules remaining Info: soc_system: Done "soc_system" with 62 modules, 114 files Error: qsys-generate failed with exit code 1: 93 Errors, 10 Warnings Info: Finished: Create simulation model Info: Starting: Create Modelsim Project. Info: sim-script-gen --spd="C:\Network mirror\Firmware\FW069\Source Files\DE10_Standard_GHRD\soc_system\soc_system.spd" --output-directory="C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/simulation/" --use-relative-paths=true Info: Doing: ip-make-simscript --spd=C:\Network mirror\Firmware\FW069\Source Files\DE10_Standard_GHRD\soc_system\soc_system.spd --output-directory=C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/simulation/ --use-relative-paths=true Info: Generating the following file(s) for MODELSIM simulator in C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/simulation/ directory: Info: mentor/msim_setup.tcl Info: Generating the following file(s) for VCS simulator in C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/simulation/ directory: Info: synopsys/vcs/vcs_setup.sh Info: Generating the following file(s) for VCSMX simulator in C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/simulation/ directory: Info: synopsys/vcsmx/synopsys_sim.setup Info: synopsys/vcsmx/vcsmx_setup.sh Info: Generating the following file(s) for NCSIM simulator in C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/simulation/ directory: Info: cadence/cds.lib Info: cadence/hdl.var Info: cadence/ncsim_setup.sh Info: 27 .cds.lib files in cadence/cds_libs/ directory Info: Generating the following file(s) for RIVIERA simulator in C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/simulation/ directory: Info: aldec/rivierapro_setup.tcl Info: For information on how to simulate your IP, see the explanatory comments in the simulator-specific subdirectories under C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/simulation/. Info: Regenerate these scripts whenever you make any change to any Quartus-generated IP in your project. Info: Finished: Create Modelsim Project. Info: Starting: Create block symbol file (.bsf) Info: qsys-generate "C:\Network mirror\Firmware\FW069\Source Files\DE10_Standard_GHRD\soc_system.qsys" --block-symbol-file --output-directory="C:\Network mirror\Firmware\FW069\Source Files\DE10_Standard_GHRD\soc_system" --family="Cyclone V" --part=5CSXFC6D6F31C6 Progress: Loading DE10_Standard_GHRD/soc_system.qsys Progress: Reading input file Progress: Adding ILC [interrupt_latency_counter 18.0] Progress: Parameterizing module ILC Progress: Adding button_pio [altera_avalon_pio 18.0] Progress: Parameterizing module button_pio Progress: Adding clk_0 [clock_source 18.0] Progress: Parameterizing module clk_0 Progress: Adding dipsw_pio [altera_avalon_pio 18.0] Progress: Parameterizing module dipsw_pio Progress: Adding f2sdram_only_master [altera_jtag_avalon_master 18.0] Progress: Parameterizing module f2sdram_only_master Progress: Adding fpga_only_master [altera_jtag_avalon_master 18.0] Progress: Parameterizing module fpga_only_master Progress: Adding hps_0 [altera_hps 18.0] Progress: Parameterizing module hps_0 Progress: Adding hps_only_master [altera_jtag_avalon_master 18.0] Progress: Parameterizing module hps_only_master Progress: Adding jtag_uart [altera_avalon_jtag_uart 18.0] Progress: Parameterizing module jtag_uart Progress: Adding led_pio [altera_avalon_pio 18.0] Progress: Parameterizing module led_pio Progress: Adding mm_bridge_0 [altera_avalon_mm_bridge 18.0] Progress: Parameterizing module mm_bridge_0 Progress: Adding sysid_qsys [altera_avalon_sysid_qsys 18.0] Progress: Parameterizing module sysid_qsys Progress: Building connections Progress: Parameterizing connections Progress: Validating Progress: Done reading input file Info: soc_system.button_pio: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation. Info: soc_system.dipsw_pio: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation. Info: soc_system.hps_0: HPS Main PLL counter settings: n = 0 m = 73 Info: soc_system.hps_0: HPS peripherial PLL counter settings: n = 0 m = 39 Warning: soc_system.hps_0: "Configuration/HPS-to-FPGA user 0 clock frequency" (desired_cfg_clk_mhz) requested 100.0 MHz, but only achieved 97.368421 MHz Warning: soc_system.hps_0: "QSPI clock frequency" (desired_qspi_clk_mhz) requested 400.0 MHz, but only achieved 370.0 MHz Warning: soc_system.hps_0: 1 or more output clock frequencies cannot be achieved precisely, consider revising desired output clock frequencies. Info: soc_system.jtag_uart: JTAG UART IP input clock need to be at least double (2x) the operating frequency of JTAG TCK on board Info: soc_system.sysid_qsys: System ID is not assigned automatically. Edit the System ID parameter to provide a unique ID Info: soc_system.sysid_qsys: Time stamp will be automatically updated when this component is generated. Info: qsys-generate succeeded. Info: Finished: Create block symbol file (.bsf) Info: Info: Starting: Create HDL design files for synthesis Info: qsys-generate "C:\Network mirror\Firmware\FW069\Source Files\DE10_Standard_GHRD\soc_system.qsys" --synthesis=VERILOG --output-directory="C:\Network mirror\Firmware\FW069\Source Files\DE10_Standard_GHRD\soc_system\synthesis" --family="Cyclone V" --part=5CSXFC6D6F31C6 Progress: Loading DE10_Standard_GHRD/soc_system.qsys Progress: Reading input file Progress: Adding ILC [interrupt_latency_counter 18.0] Progress: Parameterizing module ILC Progress: Adding button_pio [altera_avalon_pio 18.0] Progress: Parameterizing module button_pio Progress: Adding clk_0 [clock_source 18.0] Progress: Parameterizing module clk_0 Progress: Adding dipsw_pio [altera_avalon_pio 18.0] Progress: Parameterizing module dipsw_pio Progress: Adding f2sdram_only_master [altera_jtag_avalon_master 18.0] Progress: Parameterizing module f2sdram_only_master Progress: Adding fpga_only_master [altera_jtag_avalon_master 18.0] Progress: Parameterizing module fpga_only_master Progress: Adding hps_0 [altera_hps 18.0] Progress: Parameterizing module hps_0 Progress: Adding hps_only_master [altera_jtag_avalon_master 18.0] Progress: Parameterizing module hps_only_master Progress: Adding jtag_uart [altera_avalon_jtag_uart 18.0] Progress: Parameterizing module jtag_uart Progress: Adding led_pio [altera_avalon_pio 18.0] Progress: Parameterizing module led_pio Progress: Adding mm_bridge_0 [altera_avalon_mm_bridge 18.0] Progress: Parameterizing module mm_bridge_0 Progress: Adding sysid_qsys [altera_avalon_sysid_qsys 18.0] Progress: Parameterizing module sysid_qsys Progress: Building connections Progress: Parameterizing connections Progress: Validating Progress: Done reading input file Info: soc_system.button_pio: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation. Info: soc_system.dipsw_pio: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation. Info: soc_system.hps_0: HPS Main PLL counter settings: n = 0 m = 73 Info: soc_system.hps_0: HPS peripherial PLL counter settings: n = 0 m = 39 Warning: soc_system.hps_0: "Configuration/HPS-to-FPGA user 0 clock frequency" (desired_cfg_clk_mhz) requested 100.0 MHz, but only achieved 97.368421 MHz Warning: soc_system.hps_0: "QSPI clock frequency" (desired_qspi_clk_mhz) requested 400.0 MHz, but only achieved 370.0 MHz Warning: soc_system.hps_0: 1 or more output clock frequencies cannot be achieved precisely, consider revising desired output clock frequencies. Info: soc_system.jtag_uart: JTAG UART IP input clock need to be at least double (2x) the operating frequency of JTAG TCK on board Info: soc_system.sysid_qsys: System ID is not assigned automatically. Edit the System ID parameter to provide a unique ID Info: soc_system.sysid_qsys: Time stamp will be automatically updated when this component is generated. Info: soc_system: Generating soc_system "soc_system" for QUARTUS_SYNTH Info: Interconnect is inserted between master hps_0.h2f_lw_axi_master and slave mm_bridge_0.s0 because the master is of type axi and the slave is of type avalon. Info: Interconnect is inserted between master hps_only_master.master and slave hps_0.f2h_axi_slave because the master is of type avalon and the slave is of type axi. Info: Interconnect is inserted between master f2sdram_only_master.master and slave hps_0.f2h_sdram0_data because the master has address signal 32 bit wide, but the slave is 27 bit wide. Info: Interconnect is inserted between master f2sdram_only_master.master and slave hps_0.f2h_sdram0_data because the master has readdata signal 32 bit wide, but the slave is 256 bit wide. Info: Interconnect is inserted between master f2sdram_only_master.master and slave hps_0.f2h_sdram0_data because the master has writedata signal 32 bit wide, but the slave is 256 bit wide. Info: Interconnect is inserted between master f2sdram_only_master.master and slave hps_0.f2h_sdram0_data because the master has byteenable signal 4 bit wide, but the slave is 32 bit wide. Warning: hps_0.f2h_irq0: Cannot connect clock for irq_mapper_001.sender Warning: hps_0.f2h_irq0: Cannot connect reset for irq_mapper_001.sender Warning: hps_0.f2h_irq1: Cannot connect clock for irq_mapper_002.sender Warning: hps_0.f2h_irq1: Cannot connect reset for irq_mapper_002.sender Info: ILC: "soc_system" instantiated interrupt_latency_counter "ILC" Info: button_pio: Starting RTL generation for module 'soc_system_button_pio' Info: button_pio: Generation command is [exec C:/programfiles/altera/18.0/quartus/bin64/perl/bin/perl.exe -I C:/programfiles/altera/18.0/quartus/bin64/perl/lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/europa -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/perl_lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=soc_system_button_pio --dir=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0155_button_pio_gen/ --quartus_dir=C:/programfiles/altera/18.0/quartus --verilog --config=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0155_button_pio_gen//soc_system_button_pio_component_configuration.pl --do_build_sim=0 ] Info: button_pio: Done RTL generation for module 'soc_system_button_pio' Info: button_pio: "soc_system" instantiated altera_avalon_pio "button_pio" Info: dipsw_pio: Starting RTL generation for module 'soc_system_dipsw_pio' Info: dipsw_pio: Generation command is [exec C:/programfiles/altera/18.0/quartus/bin64/perl/bin/perl.exe -I C:/programfiles/altera/18.0/quartus/bin64/perl/lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/europa -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/perl_lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=soc_system_dipsw_pio --dir=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0156_dipsw_pio_gen/ --quartus_dir=C:/programfiles/altera/18.0/quartus --verilog --config=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0156_dipsw_pio_gen//soc_system_dipsw_pio_component_configuration.pl --do_build_sim=0 ] Info: dipsw_pio: Done RTL generation for module 'soc_system_dipsw_pio' Info: dipsw_pio: "soc_system" instantiated altera_avalon_pio "dipsw_pio" Info: f2sdram_only_master: "soc_system" instantiated altera_jtag_avalon_master "f2sdram_only_master" Info: hps_0: "Running for module: hps_0" Info: hps_0: HPS Main PLL counter settings: n = 0 m = 73 Info: hps_0: HPS peripherial PLL counter settings: n = 0 m = 39 Warning: hps_0: "Configuration/HPS-to-FPGA user 0 clock frequency" (desired_cfg_clk_mhz) requested 100.0 MHz, but only achieved 97.368421 MHz Warning: hps_0: "QSPI clock frequency" (desired_qspi_clk_mhz) requested 400.0 MHz, but only achieved 370.0 MHz Warning: hps_0: 1 or more output clock frequencies cannot be achieved precisely, consider revising desired output clock frequencies. Info: hps_0: "soc_system" instantiated altera_hps "hps_0" Info: jtag_uart: Starting RTL generation for module 'soc_system_jtag_uart' Info: jtag_uart: Generation command is [exec C:/programfiles/altera/18.0/quartus/bin64/perl/bin/perl.exe -I C:/programfiles/altera/18.0/quartus/bin64/perl/lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/europa -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/perl_lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=soc_system_jtag_uart --dir=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0157_jtag_uart_gen/ --quartus_dir=C:/programfiles/altera/18.0/quartus --verilog --config=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0157_jtag_uart_gen//soc_system_jtag_uart_component_configuration.pl --do_build_sim=0 ] Info: jtag_uart: Done RTL generation for module 'soc_system_jtag_uart' Info: jtag_uart: "soc_system" instantiated altera_avalon_jtag_uart "jtag_uart" Info: led_pio: Starting RTL generation for module 'soc_system_led_pio' Info: led_pio: Generation command is [exec C:/programfiles/altera/18.0/quartus/bin64/perl/bin/perl.exe -I C:/programfiles/altera/18.0/quartus/bin64/perl/lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/europa -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin/perl_lib -I C:/programfiles/altera/18.0/quartus/sopc_builder/bin -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/programfiles/altera/18.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=soc_system_led_pio --dir=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0158_led_pio_gen/ --quartus_dir=C:/programfiles/altera/18.0/quartus --verilog --config=C:/Users/MICHAE~1.ATT/AppData/Local/Temp/alt7941_2207899315548551321.dir/0158_led_pio_gen//soc_system_led_pio_component_configuration.pl --do_build_sim=0 ] Info: led_pio: Done RTL generation for module 'soc_system_led_pio' Info: led_pio: "soc_system" instantiated altera_avalon_pio "led_pio" Info: mm_bridge_0: "soc_system" instantiated altera_avalon_mm_bridge "mm_bridge_0" Info: sysid_qsys: "soc_system" instantiated altera_avalon_sysid_qsys "sysid_qsys" Info: avalon_st_adapter: Inserting error_adapter: error_adapter_0 Info: mm_interconnect_0: "soc_system" instantiated altera_mm_interconnect "mm_interconnect_0" Info: avalon_st_adapter: Inserting error_adapter: error_adapter_0 Info: avalon_st_adapter_001: Inserting error_adapter: error_adapter_0 Info: avalon_st_adapter_002: Inserting error_adapter: error_adapter_0 Info: avalon_st_adapter_003: Inserting error_adapter: error_adapter_0 Info: avalon_st_adapter_004: Inserting error_adapter: error_adapter_0 Info: avalon_st_adapter_005: Inserting error_adapter: error_adapter_0 Info: mm_interconnect_1: "soc_system" instantiated altera_mm_interconnect "mm_interconnect_1" Info: mm_interconnect_2: "soc_system" instantiated altera_mm_interconnect "mm_interconnect_2" Info: avalon_st_adapter: Inserting error_adapter: error_adapter_0 Info: mm_interconnect_3: "soc_system" instantiated altera_mm_interconnect "mm_interconnect_3" Info: irq_mapper: "soc_system" instantiated altera_irq_mapper "irq_mapper" Info: irq_mapper_001: "soc_system" instantiated altera_irq_mapper "irq_mapper_001" Info: irq_mapper_002: "soc_system" instantiated altera_irq_mapper "irq_mapper_002" Info: rst_controller: "soc_system" instantiated altera_reset_controller "rst_controller" Info: jtag_phy_embedded_in_jtag_master: "f2sdram_only_master" instantiated altera_jtag_dc_streaming "jtag_phy_embedded_in_jtag_master" Info: timing_adt: "f2sdram_only_master" instantiated timing_adapter "timing_adt" Info: fifo: "f2sdram_only_master" instantiated altera_avalon_sc_fifo "fifo" Info: b2p: "f2sdram_only_master" instantiated altera_avalon_st_bytes_to_packets "b2p" Info: p2b: "f2sdram_only_master" instantiated altera_avalon_st_packets_to_bytes "p2b" Info: transacto: "f2sdram_only_master" instantiated altera_avalon_packets_to_master "transacto" Info: b2p_adapter: "f2sdram_only_master" instantiated channel_adapter "b2p_adapter" Info: p2b_adapter: "f2sdram_only_master" instantiated channel_adapter "p2b_adapter" Info: fpga_interfaces: "hps_0" instantiated altera_interface_generator "fpga_interfaces" Info: hps_io: "hps_0" instantiated altera_hps_io "hps_io" Info: mm_bridge_0_s0_translator: "mm_interconnect_0" instantiated altera_merlin_slave_translator "mm_bridge_0_s0_translator" Info: hps_0_h2f_lw_axi_master_agent: "mm_interconnect_0" instantiated altera_merlin_axi_master_ni "hps_0_h2f_lw_axi_master_agent" Info: mm_bridge_0_s0_agent: "mm_interconnect_0" instantiated altera_merlin_slave_agent "mm_bridge_0_s0_agent" Info: router: "mm_interconnect_0" instantiated altera_merlin_router "router" Info: router_002: "mm_interconnect_0" instantiated altera_merlin_router "router_002" Info: mm_bridge_0_s0_burst_adapter: "mm_interconnect_0" instantiated altera_merlin_burst_adapter "mm_bridge_0_s0_burst_adapter" Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_merlin_address_alignment.sv Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_avalon_st_pipeline_stage.sv Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_avalon_st_pipeline_base.v Info: cmd_demux: "mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux" Info: cmd_mux: "mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux" Info: rsp_demux: "mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux" Info: rsp_mux: "mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux" Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_merlin_arbitrator.sv Info: avalon_st_adapter: "mm_interconnect_0" instantiated altera_avalon_st_adapter "avalon_st_adapter" Info: mm_bridge_0_m0_translator: "mm_interconnect_1" instantiated altera_merlin_master_translator "mm_bridge_0_m0_translator" Info: mm_bridge_0_m0_agent: "mm_interconnect_1" instantiated altera_merlin_master_agent "mm_bridge_0_m0_agent" Info: router: "mm_interconnect_1" instantiated altera_merlin_router "router" Info: router_002: "mm_interconnect_1" instantiated altera_merlin_router "router_002" Info: mm_bridge_0_m0_limiter: "mm_interconnect_1" instantiated altera_merlin_traffic_limiter "mm_bridge_0_m0_limiter" Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_avalon_sc_fifo.v Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_avalon_st_pipeline_base.v Info: cmd_demux: "mm_interconnect_1" instantiated altera_merlin_demultiplexer "cmd_demux" Info: cmd_mux: "mm_interconnect_1" instantiated altera_merlin_multiplexer "cmd_mux" Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_merlin_arbitrator.sv Info: rsp_demux: "mm_interconnect_1" instantiated altera_merlin_demultiplexer "rsp_demux" Info: rsp_mux: "mm_interconnect_1" instantiated altera_merlin_multiplexer "rsp_mux" Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_merlin_arbitrator.sv Info: hps_0_f2h_axi_slave_agent: "mm_interconnect_2" instantiated altera_merlin_axi_slave_ni "hps_0_f2h_axi_slave_agent" Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_merlin_burst_uncompressor.sv Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_avalon_sc_fifo.v Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_merlin_address_alignment.sv Info: router: "mm_interconnect_2" instantiated altera_merlin_router "router" Info: router_001: "mm_interconnect_2" instantiated altera_merlin_router "router_001" Info: cmd_demux: "mm_interconnect_2" instantiated altera_merlin_demultiplexer "cmd_demux" Info: cmd_mux: "mm_interconnect_2" instantiated altera_merlin_multiplexer "cmd_mux" Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_merlin_arbitrator.sv Info: rsp_demux: "mm_interconnect_2" instantiated altera_merlin_demultiplexer "rsp_demux" Info: rsp_mux: "mm_interconnect_2" instantiated altera_merlin_multiplexer "rsp_mux" Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_merlin_arbitrator.sv Info: hps_0_f2h_axi_slave_wr_cmd_width_adapter: "mm_interconnect_2" instantiated altera_merlin_width_adapter "hps_0_f2h_axi_slave_wr_cmd_width_adapter" Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_merlin_address_alignment.sv Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_merlin_burst_uncompressor.sv Info: router: "mm_interconnect_3" instantiated altera_merlin_router "router" Info: router_001: "mm_interconnect_3" instantiated altera_merlin_router "router_001" Info: cmd_demux: "mm_interconnect_3" instantiated altera_merlin_demultiplexer "cmd_demux" Info: cmd_mux: "mm_interconnect_3" instantiated altera_merlin_multiplexer "cmd_mux" Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_merlin_arbitrator.sv Info: rsp_mux: "mm_interconnect_3" instantiated altera_merlin_multiplexer "rsp_mux" Info: Reusing file C:/Network mirror/Firmware/FW069/Source Files/DE10_Standard_GHRD/soc_system/synthesis/submodules/altera_merlin_arbitrator.sv Info: avalon_st_adapter: "mm_interconnect_3" instantiated altera_avalon_st_adapter "avalon_st_adapter" Info: border: "hps_io" instantiated altera_interface_generator "border" Info: error_adapter_0: "avalon_st_adapter" instantiated error_adapter "error_adapter_0" Info: error_adapter_0: "avalon_st_adapter" instantiated error_adapter "error_adapter_0" Info: soc_system: Done "soc_system" with 65 modules, 133 files Info: qsys-generate succeeded. Info: Finished: Create HDL design files for synthesis