# Create work library vlib work vlib altera_mf_ver vmap altera_mf_ver altera_mf_ver vlog -work altera_mf_ver $env(QUARTUS_ROOTDIR)/eda/sim_lib/altera_mf.v ## Call script to instantiate IFFT IP files set QSYS_SIMDIR "./IFFT/simulation/" # # # # Source the generated IP simulation script. source $QSYS_SIMDIR/mentor/msim_setup.tcl # # # # Set any compilation options you require (this is unusual). # set USER_DEFINED_COMPILE_OPTIONS # # # # Call command to compile the Quartus EDA simulation library. dev_com # # # # Call command to compile the Quartus-generated IP simulation files. com # Compile Verilog # All Verilog files that are part of this design should have # their own "vlog" line below. vlog "./CSNEcommAddOn_Intan.sv" vlog "./IFFT.v" elab # Call vsim to invoke simulator # Make sure the last item on the line is the name of the # testbench module you want to execute. vsim -voptargs="+acc" -t 1ns -lib work CSNEcommAddOn_tb # Source the wave do file # This should be the file that sets up the signal window for # the module you are testing. do wave.do # Set the window types view wave view structure view signals # Run the simulation #elab run -all # End