set_global_assignment -name TOP_LEVEL_ENTITY Achilles_arria_X set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.1.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:28:57 MARCH 25, 2019" set_global_assignment -name LAST_QUARTUS_VERSION "20.2.0 Pro Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100 set_global_assignment -name DEVICE 10AS066H2F34I1SG set_global_assignment -name FAMILY "Arria 10" set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Synplify Pro" set_global_assignment -name EDA_LMF_FILE synplcty.lmf -section_id eda_design_synthesis set_global_assignment -name EDA_INPUT_DATA_FORMAT VQM -section_id eda_design_synthesis set_global_assignment -name POWER_AUTO_COMPUTE_TJ ON set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" set_global_assignment -name POWER_BOARD_THERMAL_MODEL TYPICAL set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005 set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF set_global_assignment -name PROJECT_IP_REGENERATION_POLICY NEVER_REGENERATE_IP set_global_assignment -name SAVE_DISK_SPACE ON set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS OFF set_global_assignment -name NUM_PARALLEL_PROCESSORS 6 set_global_assignment -name ALLOW_REGISTER_RETIMING ON set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE OFF set_global_assignment -name REMOVE_DUPLICATE_REGISTERS OFF set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT ON set_global_assignment -name AUTO_GLOBAL_CLOCK OFF set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS OFF set_instance_assignment -name GLOBAL_SIGNAL GLOBAL_CLOCK -to clk_25mhz_fpga -entity Achilles_arria_X set_instance_assignment -name GLOBAL_SIGNAL "GLOBAL CLOCK" -to rfd_ic_i|u_top|u_core|u_rfd_clockshop|i_mcu_cgu|i_final_stag0_clockgate|op_gclk -entity Achilles_arria_X set_global_assignment -name OPTIMIZATION_MODE "OPTIMIZE NETLIST FOR ROUTABILITY" set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to * -entity Achilles_arria_X set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS OFF set_global_assignment -name IGNORE_SOFT_BUFFERS OFF set_global_assignment -name AUTO_OPEN_DRAIN_PINS OFF set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS ON set_global_assignment -name FRACTAL_SYNTHESIS OFF set_global_assignment -name MUX_RESTRUCTURE AUTO set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC OFF set_global_assignment -name OPTIMIZATION_TECHNIQUE SPEED set_global_assignment -name AUTO_ROM_RECOGNITION ON set_global_assignment -name AUTO_RAM_RECOGNITION ON set_global_assignment -name AUTO_DSP_RECOGNITION ON set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION AUTO set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION OFF set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS OFF set_global_assignment -name HDL_MESSAGE_LEVEL LEVEL1 set_global_assignment -name BLOCK_DESIGN_NAMING QUARTUSII set_global_assignment -name SYNTH_MESSAGE_LEVEL LOW set_global_assignment -name ALLOW_REGISTER_MERGING ON set_global_assignment -name ALLOW_REGISTER_DUPLICATION ON set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION ON set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING OFF set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION AUTOMATICALLY set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION AUTOMATICALLY set_global_assignment -name QII_AUTO_PACKED_REGISTERS AUTO set_global_assignment -name AUTO_DELAY_CHAINS ON set_global_assignment -name FITTER_EFFORT "STANDARD FIT" set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION OFF set_global_assignment -name ROUTER_REGISTER_DUPLICATION AUTO set_global_assignment -name DSP_BLOCK_BALANCING AUTO set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS ON set_global_assignment -name ENABLE_INTERMEDIATE_SNAPSHOTS OFF set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL set_global_assignment -name POWER_USE_TA_VALUE 30 set_global_assignment -name FAST_PRESERVE OFF -entity Achilles_arria_X set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING OFF set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION ON set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION ON set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION ON set_global_assignment -name PHYSICAL_SYNTHESIS ON set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL NORMAL set_global_assignment -name SEARCH_PATH ../../ram_rom set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 4.0 set_global_assignment -name SEED 4 set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION OFF set_global_assignment -name ALLOW_RAM_RETIMING ON set_global_assignment -name ALLOW_DSP_RETIMING ON set_global_assignment -name STRICT_RAM_RECOGNITION ON set_global_assignment -name AUTO_RESOURCE_SHARING OFF set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS" set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING AUTOMATIC set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "NORMAL COMPILATION" set_global_assignment -name WEAK_PULL_UP_RESISTOR ON set_global_assignment -name SYNCHRONIZER_IDENTIFICATION AUTO # Obsolete assignment in "set_global_assignment -name FLOW_ENABLE_EARLY_PLACE ON" set_global_assignment -name STATE_MACHINE_PROCESSING "ONE-HOT" set_global_assignment -name ALLOW_POWER_UP_DONT_CARE OFF set_global_assignment -name FORCE_SYNCH_CLEAR OFF set_global_assignment -name SDC_FILE ../../sdc/Achilles_arria_X_project_quartus.sdc set_global_assignment -name SDC_FILE ../../sdc/fpga.fdc set_global_assignment -name VQM_FILE synthesis/Achilles_arria_X.vqm set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE OFF set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES ON set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING ON set_global_assignment -name NOT_GATE_PUSH_BACK OFF set_global_assignment -name SYNTHESIS_AVAILABLE_RESOURCE_MULTIPLIER 0 set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS CARE set_global_assignment -name OPTIMIZE_TIMING "NORMAL COMPILATION" set_global_assignment -name OPTIMIZE_FOR_METASTABILITY OFF set_global_assignment -name ALM_REGISTER_PACKING_EFFORT MEDIUM set_global_assignment -name ENABLE_TIME_BORROWING_OPTIMIZATION ON set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION AUTO set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS ON set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS OFF set_global_assignment -name TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS OFF set_global_assignment -name FLOW_ENABLE_INTERACTIVE_TIMING_ANALYZER OFF set_global_assignment -name POWER_BOARD_TEMPERATURE 30