Info: Changing the current directory to output directory /Castor/opt/questa_altera_lib .. Info: Using Path /Castor/opt/questa21.3/questasim/bin that was set in EDA Simulation Library Compiler Options Info: Generating commands to compile library fiftyfivenm ... Info: Executing command file containing library compilation commands Info: Reading pref.tcl Info: # 2021.3 Info: # do temp_simlib_comp.tmp Info: # QuestaSim-64 vlog 2021.3 Compiler 2021.07 Jul 13 2021 Info: # Start time: 11:24:15 on Aug 24,2021 Info: # vlog -work fiftyfivenm -vlog01compat /Castor/opt/altera/20.1lite/quartus/eda/sim_lib/mentor/fiftyfivenm_atoms_ncrypt.v Info: # Info: # Top level modules: Info: # End time: 11:24:16 on Aug 24,2021, Elapsed time: 0:00:01 Info: # Errors: 0, Warnings: 0 Info: # QuestaSim-64 vcom 2021.3 Compiler 2021.07 Jul 13 2021 Info: # Start time: 11:24:16 on Aug 24,2021 Info: # vcom -work fiftyfivenm -93 /Castor/opt/altera/20.1lite/quartus/eda/sim_lib/fiftyfivenm_atoms.vhd Info: # -- Loading package STANDARD Info: # -- Loading package TEXTIO Info: # -- Loading package std_logic_1164 Info: # -- Loading package VITAL_Timing Info: # -- Loading package VITAL_Primitives Info: # -- Compiling package fiftyfivenm_atom_pack Info: # -- Compiling package body fiftyfivenm_atom_pack Info: # -- Loading package fiftyfivenm_atom_pack Info: # -- Compiling package fiftyfivenm_pllpack Info: # -- Compiling package body fiftyfivenm_pllpack Info: # -- Loading package fiftyfivenm_pllpack Info: # -- Loading package fiftyfivenm_atom_pack Info: # -- Compiling entity fiftyfivenm_dffe Info: # -- Compiling architecture behave of fiftyfivenm_dffe Info: # -- Compiling entity fiftyfivenm_mux21 Info: # -- Compiling architecture AltVITAL of fiftyfivenm_mux21 Info: # -- Compiling entity fiftyfivenm_mux41 Info: # -- Compiling architecture AltVITAL of fiftyfivenm_mux41 Info: # -- Compiling entity fiftyfivenm_and1 Info: # -- Compiling architecture AltVITAL of fiftyfivenm_and1 Info: # -- Compiling entity fiftyfivenm_lcell_comb Info: # -- Compiling architecture vital_lcell_comb of fiftyfivenm_lcell_comb Info: # -- Compiling entity fiftyfivenm_routing_wire Warning: # ** Warning: /Castor/opt/altera/20.1lite/quartus/eda/sim_lib/fiftyfivenm_atoms.vhd(1676): (vcom-1288) VITAL timing generic "tpd_datainglitch_dataout" port specification "datainglitch" does not denote a port. Info: # (1076.4 section 4.3.2.1.3) Info: # -- Compiling architecture behave of fiftyfivenm_routing_wire Info: # -- Loading entity fiftyfivenm_and1 Info: # -- Compiling entity fiftyfivenm_ff Info: # -- Compiling architecture vital_lcell_ff of fiftyfivenm_ff Info: # -- Compiling entity fiftyfivenm_ram_register Info: # -- Compiling architecture reg_arch of fiftyfivenm_ram_register Info: # -- Compiling entity fiftyfivenm_ram_pulse_generator Info: # -- Compiling architecture pgen_arch of fiftyfivenm_ram_pulse_generator Info: # -- Loading entity fiftyfivenm_ram_register Info: # -- Loading entity fiftyfivenm_ram_pulse_generator Info: # -- Compiling entity fiftyfivenm_ram_block Info: # -- Compiling architecture block_arch of fiftyfivenm_ram_block Info: # -- Compiling entity fiftyfivenm_mac_data_reg Info: # -- Compiling architecture vital_fiftyfivenm_mac_data_reg of fiftyfivenm_mac_data_reg Info: # -- Compiling entity fiftyfivenm_mac_sign_reg Info: # -- Compiling architecture fiftyfivenm_mac_sign_reg of fiftyfivenm_mac_sign_reg Info: # -- Loading package std_logic_arith Info: # -- Loading package STD_LOGIC_UNSIGNED Info: # -- Compiling entity fiftyfivenm_mac_mult_internal Info: # -- Compiling architecture vital_fiftyfivenm_mac_mult_internal of fiftyfivenm_mac_mult_internal Info: # -- Loading entity fiftyfivenm_mac_data_reg Info: # -- Loading entity fiftyfivenm_mac_sign_reg Info: # -- Loading entity fiftyfivenm_mac_mult_internal Info: # -- Compiling entity fiftyfivenm_mac_mult Info: # -- Compiling architecture vital_fiftyfivenm_mac_mult of fiftyfivenm_mac_mult Info: # -- Compiling entity fiftyfivenm_mac_out Info: # -- Compiling architecture vital_fiftyfivenm_mac_out of fiftyfivenm_mac_out Info: # -- Compiling entity fiftyfivenm_pseudo_diff_out Info: # -- Compiling architecture arch of fiftyfivenm_pseudo_diff_out Info: # -- Compiling entity fiftyfivenm_io_pad Info: # -- Compiling architecture arch of fiftyfivenm_io_pad Info: # -- Compiling entity fiftyfivenm_mn_cntr Info: # -- Compiling architecture behave of fiftyfivenm_mn_cntr Info: # -- Compiling entity fiftyfivenm_scale_cntr Info: # -- Compiling architecture behave of fiftyfivenm_scale_cntr Info: # -- Compiling entity fiftyfivenm_pll_reg Info: # -- Compiling architecture behave of fiftyfivenm_pll_reg Info: # -- Loading package fiftyfivenm_pllpack Info: # -- Loading entity fiftyfivenm_mn_cntr Info: # -- Loading entity fiftyfivenm_scale_cntr Info: # -- Loading entity fiftyfivenm_dffe Info: # -- Loading entity fiftyfivenm_pll_reg Info: # -- Compiling entity fiftyfivenm_pll Info: # -- Compiling architecture vital_pll of fiftyfivenm_pll Info: # -- Compiling entity fiftyfivenm_ena_reg Info: # -- Compiling architecture behave of fiftyfivenm_ena_reg Info: # -- Loading entity fiftyfivenm_ena_reg Info: # -- Compiling entity fiftyfivenm_clkctrl Info: # -- Compiling architecture vital_clkctrl of fiftyfivenm_clkctrl Info: # -- Compiling entity fiftyfivenm_io_latch Info: # -- Compiling architecture behavior of fiftyfivenm_io_latch Info: # -- Compiling entity fiftyfivenm_phy_clkbuf Info: # -- Compiling architecture behavior of fiftyfivenm_phy_clkbuf Info: # -- Compiling entity fiftyfivenm_io_clock_divider Info: # -- Compiling architecture behavior of fiftyfivenm_io_clock_divider Info: # -- Compiling entity fiftyfivenm_io_ibuf Info: # -- Compiling architecture behavior of fiftyfivenm_io_ibuf Info: # -- Compiling entity fiftyfivenm_io_obuf Info: # -- Compiling architecture behavior of fiftyfivenm_io_obuf Info: # -- Compiling entity fiftyfivenm_ddio_out Info: # -- Compiling architecture behavior of fiftyfivenm_ddio_out Info: # -- Compiling entity fiftyfivenm_ddio_oe Info: # -- Compiling architecture behavior of fiftyfivenm_ddio_oe Info: # -- Compiling entity fiftyfivenm_ddio_in Info: # -- Compiling architecture behavior of fiftyfivenm_ddio_in Info: # -- Compiling entity fiftyfivenm_unvm Info: # -- Compiling architecture behavior of fiftyfivenm_unvm Info: # -- Compiling entity fiftyfivenm_asmiblock Info: # -- Compiling architecture behavior of fiftyfivenm_asmiblock Info: # -- Compiling entity fiftyfivenm_chipidblock Info: # -- Compiling architecture behavior of fiftyfivenm_chipidblock Info: # -- Compiling entity fiftyfivenm_oscillator Info: # -- Compiling architecture behavior of fiftyfivenm_oscillator Info: # -- Compiling entity fiftyfivenm_controller Info: # -- Compiling architecture behavior of fiftyfivenm_controller Info: # -- Compiling entity fiftyfivenm_crcblock Info: # -- Compiling architecture behavior of fiftyfivenm_crcblock Info: # -- Compiling entity fiftyfivenm_rublock Info: # -- Compiling architecture behavior of fiftyfivenm_rublock Info: # -- Compiling entity fiftyfivenm_jtag Info: # -- Compiling architecture behavior of fiftyfivenm_jtag Info: # -- Compiling entity fiftyfivenm_adcblock Info: # -- Compiling architecture behavior of fiftyfivenm_adcblock Info: # -- Compiling entity fiftyfivenm_phase_detector Info: # -- Compiling architecture behavior of fiftyfivenm_phase_detector Info: # End time: 11:24:16 on Aug 24,2021, Elapsed time: 0:00:00 Info: # Errors: 0, Warnings: 1 Info: # QuestaSim-64 vcom 2021.3 Compiler 2021.07 Jul 13 2021 Info: # Start time: 11:24:16 on Aug 24,2021 Info: # vcom -work fiftyfivenm -93 /Castor/opt/altera/20.1lite/quartus/eda/sim_lib/fiftyfivenm_components.vhd Info: # -- Loading package STANDARD Info: # -- Loading package TEXTIO Info: # -- Loading package std_logic_1164 Info: # -- Loading package VITAL_Timing Info: # -- Loading package VITAL_Primitives Info: # -- Loading package fiftyfivenm_atom_pack Info: # -- Compiling package fiftyfivenm_components Info: # End time: 11:24:16 on Aug 24,2021, Elapsed time: 0:00:00 Info: # Errors: 0, Warnings: 0 Info: Successfully compiled the libraries