# # Device and stuff # set_global_assignment -name FAMILY "Stratix 10" set_global_assignment -name DEVICE 1SM21BHU2F53E2VGS1 set_global_assignment -name TOP_LEVEL_ENTITY top set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:38:11 JUNE 11, 2017" set_global_assignment -name LAST_QUARTUS_VERSION "19.4.0 Pro Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name VERILOG_MACRO "ALTERA_EMIF_ENABLE_ISSP=1" set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100 set_global_assignment -name OPTIMIZATION_TECHNIQUE SPEED set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS ON set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS OFF set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS" set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING OFF set_global_assignment -name UNIPHY_SEQUENCER_DQS_CONFIG_ENABLE ON set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING ON set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "1.8 V" set_global_assignment -name FITTER_EFFORT "STANDARD FIT" set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION ON set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL MAXIMUM set_global_assignment -name QII_AUTO_PACKED_REGISTERS NORMAL set_global_assignment -name MUX_RESTRUCTURE OFF set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS ON set_global_assignment -name ENABLE_SIGNALTAP ON set_global_assignment -name USE_CONFIGURATION_DEVICE ON set_global_assignment -name USE_CHECKSUM_AS_USERCODE ON set_global_assignment -name GENERATE_RBF_FILE ON set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION ON set_global_assignment -name PHYSICAL_SYNTHESIS ON set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "ACTIVE SERIAL X4" set_global_assignment -name GENERATE_PR_RBF_FILE ON set_global_assignment -name ENABLE_ED_CRC_CHECK ON set_global_assignment -name MINIMUM_SEU_INTERVAL 10969 set_global_assignment -name USE_CVP_CONFDONE SDM_IO15 set_global_assignment -name ACTIVE_SERIAL_CLOCK AS_FREQ_100MHZ set_global_assignment -name PROJECT_IP_REGENERATION_POLICY NEVER_REGENERATE_IP set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF set_global_assignment -name DEVICE_INITIALIZATION_CLOCK OSC_CLK_1_125MHZ set_global_assignment -name OPTIMIZATION_MODE "HIGH PERFORMANCE EFFORT" set_global_assignment -name ALLOW_RAM_RETIMING ON set_global_assignment -name ALLOW_DSP_RETIMING ON set_global_assignment -name FLOW_ENABLE_HYPER_RETIMER_FAST_FORWARD ON set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 # # Pins IO Standards # set_instance_assignment -name USE_AS_3V_GPIO ON -to npor_pin_perst -entity top set_instance_assignment -name IO_STANDARD "1.8 V" -to npor_npor -entity top set_instance_assignment -name IO_STANDARD HCSL -to refclk_clk -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out0 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in0 -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out1 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in1 -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out2 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in2 -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out3 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in3 -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out4 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in4 -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out5 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in5 -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out6 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in6 -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out7 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in7 -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out8 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in8 -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out9 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in9 -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out10 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in10 -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out11 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in11 -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out12 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in12 -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out13 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in13 -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out14 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in14 -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to xcvr_tx_out15 -entity top set_instance_assignment -name IO_STANDARD "CURRENT MODE LOGIC (CML)" -to xcvr_rx_in15 -entity top # # IO Standard Eth # set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to eth_tx0_serial -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to eth_rx0_serial -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to eth_tx1_serial -entity top set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to eth_rx1_serial -entity top set_instance_assignment -name IO_STANDARD LVDS -to eth_refclk0_clk -entity top set_instance_assignment -name IO_STANDARD LVDS -to eth_refclk1_clk -entity top # # Pins Eth # set_location_assignment PIN_AW17 -to zqsfp0_1v8_modsel_l set_location_assignment PIN_AV16 -to zqsfp0_1v8_reset_l set_location_assignment PIN_AW16 -to zqsfp0_1v8_modprs_l set_location_assignment PIN_BC16 -to zqsfp0_1v8_lpmode set_location_assignment PIN_BB16 -to zqsfp0_1v8_int_l set_location_assignment PIN_AY16 -to zqsfp1_1v8_reset_l set_location_assignment PIN_AY15 -to zqsfp1_1v8_modprs_l set_location_assignment PIN_BE15 -to zqsfp1_1v8_lpmode set_location_assignment PIN_BF15 -to zqsfp1_1v8_int_l set_location_assignment PIN_BA17 -to zqsfp1_1v8_modsel_l set_location_assignment PIN_BD16 -to zqsfp_s10_i2c_sda set_location_assignment PIN_BJ16 -to zqsfp_s10_i2c_scl set_location_assignment PIN_AL5 -to eth_rx1_serial[0] set_location_assignment PIN_AL6 -to eth_rx1_serial[0](n) set_location_assignment PIN_AK7 -to eth_rx1_serial[1] set_location_assignment PIN_AK8 -to eth_rx1_serial[1](n) set_location_assignment PIN_AH7 -to eth_rx1_serial[2] set_location_assignment PIN_AH8 -to eth_rx1_serial[2](n) set_location_assignment PIN_AG5 -to eth_rx1_serial[3] set_location_assignment PIN_AG6 -to eth_rx1_serial[3](n) set_location_assignment PIN_AM3 -to eth_tx1_serial[0] set_location_assignment PIN_AM4 -to eth_tx1_serial[0](n) set_location_assignment PIN_AL1 -to eth_tx1_serial[1] set_location_assignment PIN_AL2 -to eth_tx1_serial[1](n) set_location_assignment PIN_AJ1 -to eth_tx1_serial[2] set_location_assignment PIN_AJ2 -to eth_tx1_serial[2](n) set_location_assignment PIN_AH3 -to eth_tx1_serial[3] set_location_assignment PIN_AH4 -to eth_tx1_serial[3](n) set_location_assignment PIN_AJ9 -to eth_refclk1_clk set_location_assignment PIN_AJ10 -to eth_refclk1_clk(n) set_location_assignment PIN_AM45 -to eth_rx1_serial[0] set_location_assignment PIN_AM44 -to eth_rx1_serial[0](n) set_location_assignment PIN_AK45 -to eth_rx1_serial[1] set_location_assignment PIN_AK44 -to eth_rx1_serial[1](n) set_location_assignment PIN_AF45 -to eth_rx1_serial[2] set_location_assignment PIN_AF44 -to eth_rx1_serial[2](n) set_location_assignment PIN_AH45 -to eth_rx1_serial[3] set_location_assignment PIN_AH44 -to eth_rx1_serial[3](n) set_location_assignment PIN_AN51 -to eth_tx1_serial[0] set_location_assignment PIN_AN50 -to eth_tx1_serial[0](n) set_location_assignment PIN_AL51 -to eth_tx1_serial[1] set_location_assignment PIN_AL50 -to eth_tx1_serial[1](n) set_location_assignment PIN_AG51 -to eth_tx1_serial[2] set_location_assignment PIN_AG50 -to eth_tx1_serial[2](n) set_location_assignment PIN_AJ51 -to eth_tx1_serial[3] set_location_assignment PIN_AJ50 -to eth_tx1_serial[3](n) set_location_assignment PIN_AJ43 -to eth_refclk1_clk set_location_assignment PIN_AJ42 -to eth_refclk1_clk(n) # # Pins PCIe # set_location_assignment PIN_AH39 -to npor_pin_perst set_location_assignment PIN_AV16 -to npor_npor set_location_assignment PIN_AW43 -to refclk_clk set_location_assignment PIN_AW42 -to "refclk_clk(n)" set_location_assignment PIN_BL47 -to xcvr_tx_out0 set_location_assignment PIN_BL46 -to "xcvr_tx_out0(n)" set_location_assignment PIN_BH45 -to xcvr_rx_in0 set_location_assignment PIN_BH44 -to "xcvr_rx_in0(n)" set_location_assignment PIN_BK49 -to xcvr_tx_out1 set_location_assignment PIN_BK48 -to "xcvr_tx_out1(n)" set_location_assignment PIN_BJ47 -to xcvr_rx_in1 set_location_assignment PIN_BJ46 -to "xcvr_rx_in1(n)" set_location_assignment PIN_BH49 -to xcvr_tx_out2 set_location_assignment PIN_BH48 -to "xcvr_tx_out2(n)" set_location_assignment PIN_BG47 -to xcvr_rx_in2 set_location_assignment PIN_BG46 -to "xcvr_rx_in2(n)" set_location_assignment PIN_BG51 -to xcvr_tx_out3 set_location_assignment PIN_BG50 -to "xcvr_tx_out3(n)" set_location_assignment PIN_BF45 -to xcvr_rx_in3 set_location_assignment PIN_BF44 -to "xcvr_rx_in3(n)" set_location_assignment PIN_BF49 -to xcvr_tx_out4 set_location_assignment PIN_BF48 -to "xcvr_tx_out4(n)" set_location_assignment PIN_BE47 -to xcvr_rx_in4 set_location_assignment PIN_BE46 -to "xcvr_rx_in4(n)" set_location_assignment PIN_BE51 -to xcvr_tx_out5 set_location_assignment PIN_BE50 -to "xcvr_tx_out5(n)" set_location_assignment PIN_BD45 -to xcvr_rx_in5 set_location_assignment PIN_BD44 -to "xcvr_rx_in5(n)" set_location_assignment PIN_BD49 -to xcvr_tx_out6 set_location_assignment PIN_BD48 -to "xcvr_tx_out6(n)" set_location_assignment PIN_BB45 -to xcvr_rx_in6 set_location_assignment PIN_BB44 -to "xcvr_rx_in6(n)" set_location_assignment PIN_BC51 -to xcvr_tx_out7 set_location_assignment PIN_BC50 -to "xcvr_tx_out7(n)" set_location_assignment PIN_BC47 -to xcvr_rx_in7 set_location_assignment PIN_BC46 -to "xcvr_rx_in7(n)" set_location_assignment PIN_BB49 -to xcvr_tx_out8 set_location_assignment PIN_BB48 -to "xcvr_tx_out8(n)" set_location_assignment PIN_BA47 -to xcvr_rx_in8 set_location_assignment PIN_BA46 -to "xcvr_rx_in8(n)" set_location_assignment PIN_BA51 -to xcvr_tx_out9 set_location_assignment PIN_BA50 -to "xcvr_tx_out9(n)" set_location_assignment PIN_AY45 -to xcvr_rx_in9 set_location_assignment PIN_AY44 -to "xcvr_rx_in9(n)" set_location_assignment PIN_AY49 -to xcvr_tx_out10 set_location_assignment PIN_AY48 -to "xcvr_tx_out10(n)" set_location_assignment PIN_AW47 -to xcvr_rx_in10 set_location_assignment PIN_AW46 -to "xcvr_rx_in10(n)" set_location_assignment PIN_AW51 -to xcvr_tx_out11 set_location_assignment PIN_AW50 -to "xcvr_tx_out11(n)" set_location_assignment PIN_AV45 -to xcvr_rx_in11 set_location_assignment PIN_AV44 -to "xcvr_rx_in11(n)" set_location_assignment PIN_AV49 -to xcvr_tx_out12 set_location_assignment PIN_AV48 -to "xcvr_tx_out12(n)" set_location_assignment PIN_AU47 -to xcvr_rx_in12 set_location_assignment PIN_AU46 -to "xcvr_rx_in12(n)" set_location_assignment PIN_AU51 -to xcvr_tx_out13 set_location_assignment PIN_AU50 -to "xcvr_tx_out13(n)" set_location_assignment PIN_AT45 -to xcvr_rx_in13 set_location_assignment PIN_AT44 -to "xcvr_rx_in13(n)" set_location_assignment PIN_AT49 -to xcvr_tx_out14 set_location_assignment PIN_AT48 -to "xcvr_tx_out14(n)" set_location_assignment PIN_AR47 -to xcvr_rx_in14 set_location_assignment PIN_AR46 -to "xcvr_rx_in14(n)" set_location_assignment PIN_AR51 -to xcvr_tx_out15 set_location_assignment PIN_AR50 -to "xcvr_tx_out15(n)" set_location_assignment PIN_AP45 -to xcvr_rx_in15 set_location_assignment PIN_AP44 -to "xcvr_rx_in15(n)" # # 50 MHz Clock # set_location_assignment PIN_BE17 -to clk_50_clk set_instance_assignment -name IO_STANDARD "1.8 V" -to clk_50_clk -entity top # # IPs # set_global_assignment -name VERILOG_FILE top.v set_global_assignment -name QSYS_FILE top.qsys set_global_assignment -name IP_FILE ip/top/pcie_ep.ip set_global_assignment -name IP_FILE ip/top/msgdma_inst.ip set_global_assignment -name IP_FILE ip/top/reset_release.ip set_global_assignment -name IP_FILE ip/top/eth_wrapper_top.ip set_global_assignment -name IP_FILE ip/top/ex_100g.ip set_global_assignment -name IP_FILE ip/top/mpool_reset_inst.ip set_global_assignment -name IP_FILE ip/top/clk_status_iopll.ip # # STP # #set_global_assignment -name USE_SIGNALTAP_FILE output_files/stp1.stp #set_global_assignment -name SIGNALTAP_FILE output_files/stp1.stp # # Search Paths # set_global_assignment -name SEARCH_PATH mac_100G # # PM Bus Stuff from example # set_global_assignment -name ALLOW_VCCR_VCCT_PER_BANK ON set_global_assignment -name PWRMGT_BUS_SPEED_MODE "100 KHZ" set_global_assignment -name PWRMGT_PAGE_COMMAND_ENABLE ON set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0X47 set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 00 set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 00 set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 00 set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 00 set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 00 set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 00 set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 00 set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE OTHER set_global_assignment -name PWRMGT_TRANSLATED_VOLTAGE_VALUE_UNIT VOLTS set_global_assignment -name USE_CONF_DONE SDM_IO16 set_global_assignment -name USE_CVP_CONFDONE SDM_IO15 set_global_assignment -name USE_INIT_DONE SDM_IO0 set_global_assignment -name USE_PWRMGT_SCL SDM_IO14 set_global_assignment -name USE_PWRMGT_SDA SDM_IO11