FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6356 Discussions

Error: Node instance "fircore" instantiates undefined entity "fir_3k_st"

Altera_Forum
Honored Contributor II
1,000 Views

I created Variable mixed coefficient FIR Filter with an externally created set of coefficients. The FIR Compiler creates a number of files and adds them to my project. When I try to compile the project I get the above error in one of the files that FIR Compiler created. Why does it ask for a file that it didn't create?

0 Kudos
0 Replies
Reply