FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6356 Discussions

Error when generating DE1_system SOPC

Altera_Forum
Honored Contributor II
1,009 Views

Hello, 

I am working on MODULE 5 of the Embedded System Lab instruction v91 (November 2009, v 7.0) found in the Altera Wiki. 

 

I am using Quartus V10.1. I have installed the UP_IP_Library.  

 

I have completed the definition of the SOPC system for the DE1 as described in module 4 of the lab and the system was generated succesfully. 

 

When trying to compile the system, I get the following messages: 

 

Error: Node instance "auto_inst" instantiates undefined entity "cos_Approximator_GND3CNA6YR" 

Error: Node instance "auto_inst" instantiates undefined entity "de1_vga_dma_GN6XXUQOV3" 

Error: Node instance "auto_inst" instantiates undefined entity "phase_Generator_GN4TFERVBC" 

 

Any help would be greatly appreciated. 

 

Happy new year every one
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
295 Views

hi did u solve tthis error?? I get the same at Quartus II 9.1sp2, 10sp1, 10.1 and i don't know what's wrong. 

Error: Node instance "instance" instantiates undefined entity "cos_Approximator" Error: Node instance "instance" instantiates undefined entity "de1_vga_dma" Error: Node instance "instance" instantiates undefined entity "phase_Generator"  

 

Any one plz help us :)
0 Kudos
Altera_Forum
Honored Contributor II
295 Views

Hello guys, I have the same kind of error messages that you have: 

 

Error: Node instance "alt_vip_cpr_0" instantiates undefined entity "alt_vip_cpr_GNIXHWNATI" 

Error: Node instance "alt_vip_crs_0" instantiates undefined entity "alt_vip_crs_GNWMDRIE35" 

Error: Node instance "alt_vip_csc_0" instantiates undefined entity "alt_vip_csc_GNRGF3FDX3" 

 

Did you solve this problem???? 

 

Thanks!
0 Kudos
Reply