FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6355 Discussions

FFT megawizard stimulation

Altera_Forum
Honored Contributor II
1,302 Views

Hi ! 

 

I am new to megacore I generated the FFT core in vhdl. But I couldnt to run the stimulation in modelsim. I attached the tb_fft.vhd fft.vhd and fft.vho file to the project in modelsim.Should I do more? 

please help.
0 Kudos
11 Replies
Altera_Forum
Honored Contributor II
347 Views

Can you paste what the error was? I don't think you need the FFT.vhd file - the FFT.vhd is for synthesis, the FFT.vho is for simulation.

0 Kudos
Altera_Forum
Honored Contributor II
347 Views

I cant get the outputs. The outputs are high impidance

0 Kudos
Altera_Forum
Honored Contributor II
347 Views

So it simulates but doesn't produce an output? The transcript window will show you any errors or warnings - most likely that a component is unbound and therefore it's not actually being simulated, if that's the case have a look and see where the component it can't find is.  

 

If you're still having trouble, try following the instructions listed as "Simulating in Third-Party Simulation Tools Using NativeLink" in the FFT user guide here: http://www.altera.co.uk/literature/ug/ug_fft.pdf
0 Kudos
Altera_Forum
Honored Contributor II
347 Views

Check the transcript in modelsim, it'll likely tell you that one of the components is unbound - which means that it can't find the source for it so it's just driving the ports low. If there's an unbound component try compiling it into your project and simulating again.

0 Kudos
Altera_Forum
Honored Contributor II
347 Views

I tried it locally by running the following commands: 

vlib work 

vcom fft.vho -work work 

vcom fft_tb.vhd -work work 

vsim fft_tb 

log -r /* 

add wave sim:fft_tb/fft_inst/* 

run -all 

 

 

and it worked for me in version 13.1 and modelsim AE
0 Kudos
Altera_Forum
Honored Contributor II
347 Views

I solved the problem thanks a lot. 

The sink_real txt file was missing.
0 Kudos
Altera_Forum
Honored Contributor II
347 Views

Is it possible to vary the input size ? 

For example for 8-fft megawizard creates 4 frame ( 32 length array), I want to apply it 8 frame ( 64 length array). 

Could I do that by adding the frames to inputs ( sink_real and sink_imag) ?
0 Kudos
Altera_Forum
Honored Contributor II
347 Views

hi feradiskaras , i am also working on FFT , but my code gives so many errors, 

can you just share your code, i am working on my FYP. 

thanks..help will be appreciated..
0 Kudos
Altera_Forum
Honored Contributor II
347 Views

if you specify what the errors, I can help you. 

Do you use the megawizard plug-in fft? The code is generated by magwizard. I just modified it for my inputs. 

 

 

--- Quote Start ---  

hi feradiskaras , i am also working on FFT , but my code gives so many errors, 

can you just share your code, i am working on my FYP. 

thanks..help will be appreciated.. 

--- Quote End ---  

0 Kudos
Altera_Forum
Honored Contributor II
347 Views

i am unable to use megawizard plug_in FFT, i dont know but quartus show only basic libraries are installed. 

dont know how to instal dsp library so that i can use fft..
0 Kudos
Altera_Forum
Honored Contributor II
347 Views

Megawizard Plug-In is conducted to Quartus web edition. You can download the web edition quartus website. You will fin the megawizard in the tools menu. After that you can find the fft DSP-Transformers section. It will create a top level VHDL code for you.

0 Kudos
Reply