FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6359 Discussions

FIR Compiler output bit width

Altera_Forum
Honored Contributor II
863 Views

I have a 12bit adc output passing through a filter. I need the output of the fir filter to be 12 bits. Is there a way of setting the output bitwidth using fir Compiler.

0 Kudos
0 Replies
Reply