FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6359 Discussions

Internal Oscillator for MAX10 device

Altera_Forum
Honored Contributor II
3,423 Views

Hello. 

I am trying to use internal oscillator in MAX10 FPGA. 

It works but I am getting 83 mhz instead of desired 55 mhz

Already confusing the frequency setting in IP altera_int_osc. It says Clock Frequency 55 MHz or 116 MHz but under the Simulation section. 

I don't have any other setting. 

Is this setting really for Simulation only?  

If so then how to make 55 MHz? 

 

Thanks
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
1,804 Views

You don't get a choice. The internal oscillator for the smaller MAX10 devices is spec'ed to be in the range of 55MHz to 116MHz, nominal 82MHz. Your oscillator is more or less on spec as typical at 83MHz.  

 

If you need a repeatable, accurate frequency source, then you need to use an external source based on a crystal, and possibly feed it to a PLL internal to the MAX10. 

 

The internal oscillator is provided as a 'freebie' but you don't get to choose the value, you only know is is between 55MHz and 116MHz, and may change widely over voltage and temperature.
0 Kudos
Altera_Forum
Honored Contributor II
1,804 Views

 

--- Quote Start ---  

You don't get a choice. The internal oscillator for the smaller MAX10 devices is spec'ed to be in the range of 55MHz to 116MHz, nominal 82MHz. Your oscillator is more or less on spec as typical at 83MHz.  

 

If you need a repeatable, accurate frequency source, then you need to use an external source based on a crystal, and possibly feed it to a PLL internal to the MAX10. 

 

The internal oscillator is provided as a 'freebie' but you don't get to choose the value, you only know is is between 55MHz and 116MHz, and may change widely over voltage and temperature. 

--- Quote End ---  

 

 

 

Hello 

 

If it is so it is very bad news you provided. 

Is there any doc which state that we cannot set this value?  

Regarding PLL, "you cannot drive the plls with internal oscillator" 

https://www.altera.com/en_us/pdfs/literature/hb/max-10/ug_m10_clkpll.pdf page 33 

 

Anyway, thanks for the reply.
0 Kudos
Altera_Forum
Honored Contributor II
1,804 Views

I found one more doc which tells about int osc frequency  

https://www.altera.com/en_us/pdfs/literature/hb/max-10/m10_datasheet.pdf (https://www.altera.com/en_us/pdfs/literature/hb/max-10/m10_datasheet.pdf) page 28 

Written Fmin=55MHz, Ftyp=82MHz, Fmax=116MHz. 

 

But still implicitly.
0 Kudos
Altera_Forum
Honored Contributor II
1,804 Views

 

--- Quote Start ---  

I found one more doc which tells about int osc frequency  

https://www.altera.com/en_us/pdfs/literature/hb/max-10/m10_datasheet.pdf (https://www.altera.com/en_us/pdfs/literature/hb/max-10/m10_datasheet.pdf) page 28 

Written Fmin=55MHz, Ftyp=82MHz, Fmax=116MHz. 

 

But still implicitly. 

--- Quote End ---  

 

 

That is not implicit. The datasheet indicates explicitly that the internal oscillator can range from 55MHz to 116Mhz, and nominally is 82MHz. 

 

There is no way for you to change it in your physical device; if you could the datasheet would indicate how to do so. It does not. 

 

You can change it in simulation to reflect the min/max value range, so you can check your design. But that is for simulation only.
0 Kudos
Altera_Forum
Honored Contributor II
1,804 Views

 

--- Quote Start ---  

That is not implicit. The datasheet indicates explicitly that the internal oscillator can range from 55MHz to 116Mhz, and nominally is 82MHz. 

 

There is no way for you to change it in your physical device; if you could the datasheet would indicate how to do so. It does not. 

 

You can change it in simulation to reflect the min/max value range, so you can check your design. But that is for simulation only

--- Quote End ---  

 

 

 

The docs above do not describe any IP options. I could get it only at implementation stage. 

Is not right, that's why I say that it is implicit description in the specs. Not only me who was reading their specs.  

With the same success they could write the range from 0 Hz up to ANY MHz. So .... nice trick. 

 

Anyway I have to use external oscillator instead of this nightmare. 

 

Thanks for your reply as it clarified a lot of things
0 Kudos
Reply