FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6343 Discussions

NCO v 11.1 not working

Altera_Forum
Honored Contributor II
1,499 Views

I have Quartus v11.1 Build 216 and generate NCO by his Wizard, generating include Simulation Model and Netlist. Then i try simulate this in Altera ModelSim and NCO not working. I use original TB generated by wizard and NCOout make only "U" I expected sine wave, not U. Where I made mistake? 

Thanks for any help.
0 Kudos
8 Replies
Altera_Forum
Honored Contributor II
320 Views

Did You initialize Your signal values?

0 Kudos
Altera_Forum
Honored Contributor II
320 Views

 

--- Quote Start ---  

Did You initialize Your signal values? 

--- Quote End ---  

 

 

Yes, they should. I use to initialize "ALT_NCO_tb.vhd" which be automaticly generate with NCO core. Or do You mean somethin different? I attach TB (ALT_NCO_tb.vhd.txt)
0 Kudos
Altera_Forum
Honored Contributor II
320 Views

initialize all signals, e.g.: 

signal reset_n : std_logic := '0'; signal sin_val : std_logic_vector (MPR-1 downto 0) := (others => '0');
0 Kudos
Altera_Forum
Honored Contributor II
320 Views

 

--- Quote Start ---  

initialize all signals, e.g.: 

signal reset_n : std_logic := '0'; signal sin_val : std_logic_vector (MPR-1 downto 0) := (others => '0');  

--- Quote End ---  

 

 

I paste this lines into my TB. It initialize zero value but NCO still not working. Initialize in attach.
0 Kudos
Altera_Forum
Honored Contributor II
320 Views

You have to do this for ALL signals. But anyway, I believe the problem is somewhere in other place.

0 Kudos
Altera_Forum
Honored Contributor II
320 Views

yes, initialization do only the "0" from the "U", but not from NCO sinusoidal wave

0 Kudos
Altera_Forum
Honored Contributor II
320 Views

There may be other problems, too, but setting reset_n to '0' will keep the NCO in reset. You should only pulse it low.

0 Kudos
Altera_Forum
Honored Contributor II
320 Views

We have right, the problem was some other. I forgot attach source file generated from BDF in NativeLink settings. 

I overlooked ModelSim's Warning: (vsim-3473), that is already described here. 

thx for help
0 Kudos
Reply