FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6355 Discussions

Problem with PCI_compiler in SOPC 9.0

Altera_Forum
Honored Contributor II
1,240 Views

hai,guys. I have recently study the Pci-compiler.However,I encoutered some difficulties. I need your help. My questions are as followed: 

1 how to use Master Operation, especially how to use Avalon Configuration to set the computer's address,which is mapped to PCI_Bus_Access? 

2 how to write data to the Control_Register_Access?why the data is always 0 when I read data from the Control_Register_Access? why I can't write data to the Control_Register_Access. 

Thank you very much,If you can give me any suggestions and keys. 

Thank you !:)
0 Kudos
0 Replies
Reply