FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6356 Discussions

Simulating Parallel Flash Loader IP for FPGA Configuration

user3815
Beginner
281 Views

I am trying to simulate the Parallel Flash Loader IP according to section 1.4.3 of UG-01082, but I am not seeing the behavior described in that section. Figure 19 and Figure 20 in UG-01082 depict an example simulation. Is that or another pre-built simulation available to reference to confirm how to properly setup and configure the simulation of that IP?

0 Kudos
1 Reply
YuanLi_S_Intel
Employee
253 Views

apologize that we do not have the design example for this. Guidance available in the user guide should be sufficient.


0 Kudos
Reply