FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6352 Discussions

mpeg encoder solution

Altera_Forum
Honored Contributor II
997 Views

hi; does anyone of you know about the solution provided by ALTERA for an MPEG encoder which outputs signals(tx_data[7:0], tx_en and clk) for the input of an ASI transmitter core( i attached the scheme of the ASI transmitter)? 

i will be very greatfull for your help....any solution provided by any of the partner of ALTERA will be welcome.... 

regards
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
313 Views

 

this core convert mpeg 2 or any other data to asi protocol, you need input of 270Mhz to tx_clk270m, 27M clock to tx_refclk,also reset and enable, the data go to tx_data[7..o].
0 Kudos
Reply