FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6359 Discussions

no default binding for component error while simulating altlvds_rx megafunction

Altera_Forum
Honored Contributor II
1,135 Views

Hi, 

im facing problem while simulating altlvds_rx mega function in modelsim . 

The error messages are as follows 

 

vsim work.top_deserializer_tb# vsim work.top_deserializer_tb # Loading std.standard# Loading ieee.std_logic_1164(body)# Loading ieee.std_logic_arith(body)# Loading ieee.std_logic_unsigned(body)# Loading work.top_deserializer_tb(behaviour)# Loading work.top_deserializer(serdes)# Loading work.deser(syn)# Loading altera_mf.altera_device_families(body)# Loading std.textio(body)# Loading altera_mf.mf_pllpack(body)# Loading altera_mf.altlvds_rx(behavior)# ** Error: (vsim-3733) D:/DSP_Project/Code Trials/Deser.vhd(179): No default binding for component at 'altlvds_rx_component'.# (Generic 'refclk_frequency' is not on the entity.)# Region: /top_deserializer_tb/uut/serdes/altlvds_rx_component# ** Error: (vsim-3733) D:/DSP_Project/Code Trials/Deser.vhd(179): No default binding for component at 'altlvds_rx_component'.# (Generic 'data_rate' is not on the entity.)# Region: /top_deserializer_tb/uut/serdes/altlvds_rx_component# Loading altera_mf.mf_stratixiii_pll(vital_pll)# ** Warning: (vsim-3479) Time unit 'ps' is less than the simulator resolution (1ns).# Time: 0 ns Iteration: 0 Region: /top_deserializer_tb/uut/serdes/altlvds_rx_component/flvds_stxiii_pll/u5# ** Error: (vsim-3733) C:/altera/91/modelsim_ase/win32aloem/../altera/vhdl/src/altera_mf/altera_mf.vhd(34869): No default binding for component at 'u5'.# (Generic 'refclk_frequency' is not on the entity.)# Region: /top_deserializer_tb/uut/serdes/altlvds_rx_component/flvds_stxiii_pll/u5# ** Error: (vsim-3733) C:/altera/91/modelsim_ase/win32aloem/../altera/vhdl/src/altera_mf/altera_mf.vhd(34869): No default binding for component at 'u5'.# (Generic 'data_rate' is not on the entity.)# Region: /top_deserializer_tb/uut/serdes/altlvds_rx_component/flvds_stxiii_pll/u5# Loading altera_mf.mf_ttn_mn_cntr(behave)# ** Error: (vsim-3733) C:/altera/91/modelsim_ase/win32aloem/../altera/vhdl/src/altera_mf/altera_mf.vhd(9000): No default binding for component at 'm1'.# (Generic 'refclk_frequency' is not on the entity.)# Region: /top_deserializer_tb/uut/serdes/altlvds_rx_component/flvds_stxiii_pll/u5/m1# ** Error: (vsim-3733) C:/altera/91/modelsim_ase/win32aloem/../altera/vhdl/src/altera_mf/altera_mf.vhd(9000): No default binding for component at 'm1'.# (Generic 'data_rate' is not on the entity.)# Region: /top_deserializer_tb/uut/serdes/altlvds_rx_component/flvds_stxiii_pll/u5/m1# Loading altera_mf.mf_ttn_scale_cntr(behave)# Loading altera_mf.flexible_lvds_rx(behavior)# Error loading design 

 

I dono what exactly is the problem! PLease help!
0 Kudos
0 Replies
Reply