- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Dear team,
we have a RS485 communication application running between 2 FPGA boards.
FPGA1 sends a ditital bit stream with 12MBit/s through a RS485 trancseiver to the RS485 transceiver of board 2 where the second FPGA reads in the data.
Currently we are developing with the reference design DKDEV-
10M08E144-B. The bitstream with 12 MBit/s is directly read in through an other port of the FPGA. (Output shorted to input).
The oversampling clock is 192MHz. The 12MHz clock and the 192MHz clock are built with the integrated PLL based on the 50MHz external clock source.
The SDC file consits the following settings:
set_time_format -unit ns -decimal_places 3
create_clock -period 20.000 -name clk_50MHz [get_ports {CLK_50MHZ_IN}]
derive_pll_clocks
Questions:
Are the settings in the SDC file sufficient to have an optimized compiler output?
Which settings in the compiler are necessary to have the best results for this time critical application?
Best regards,
Jochen
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Link Copied
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
You also require I/O timing constraints: set_input_delay and set_output_delay that specify the maximum and minimum external delays to meet setup and hold timing requirements at the FPGA (inputs) and at the downstream device (outputs).
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
You may also refer to https://www.intel.com/content/www/us/en/support/programmable/support-resources/design-guidance/max-10.html#tab-blade-1-6 for timing constrain need.
This userguide provide end to end work that you need for the entire journey.
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Is there any further question on this?
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
I’m glad that your question has been addressed, I now transition this thread to community support. If you have a new question, Please login to ‘https://supporttickets.intel.com/s/?language=en_US’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.

- Subscribe to RSS Feed
- Mark Topic as New
- Mark Topic as Read
- Float this Topic for Current User
- Bookmark
- Subscribe
- Printer Friendly Page