FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5892 Discussions

ALTERA MAX 10 How to write custom ADC interface verilog code

Altera_Forum
Honored Contributor II
2,479 Views

Hello guys, 

 

I am new to FPGA though I have experience with verilog coding. 

I am working on a Project where I need to use the ADC of Max 10 at 1MSPS to measure an analog signal and send the binary data to the PC. 

 

I saw a few examples out there like using QSYS and the ADC tool kit. Though I was able to implement the example I havent understood how that works at all. 

 

I needed some guidance regarding some documentation/lessons where I can read directly how to interface with the on board ADC. 

Even a pinout of the ADC and what each of those pin do would be a good starter.
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
1,305 Views

You don't say which MAX 10 development board you are using. Is it this one: https://www.altera.com/products/boards_and_kits/dev-kits/altera/max-10-fpga-development-kit.html

 

The MAX 10 part on this board has an integrated ADC, it is not a separate part. The above page has pointers to the full board level schematic. 

 

If so, have you looked here and read these documents: https://www.altera.com/documentation/sam1393576011848.html

 

PS If you are going to ask questions, you need to be REALLY specific about what boards/chips/software/etc you are using. We are not mind readers.
0 Kudos
Altera_Forum
Honored Contributor II
1,305 Views

 

--- Quote Start ---  

You don't say which MAX 10 development board you are using. Is it this one: https://www.altera.com/products/boards_and_kits/dev-kits/altera/max-10-fpga-development-kit.html

 

The MAX 10 part on this board has an integrated ADC, it is not a separate part. The above page has pointers to the full board level schematic. 

 

If so, have you looked here and read these documents: https://www.altera.com/documentation/sam1393576011848.html

 

PS If you are going to ask questions, you need to be REALLY specific about what boards/chips/software/etc you are using. We are not mind readers. 

--- Quote End ---  

 

 

The full description of the board is: Max 10M50DAF484C6GES  

Its the same one you have given in the link. 

 

Yes I went through the schematic and the User guide for ADC. 

The schematic doesnt really show the ADC chips output pins only the analog input pins are mentioned. I guess its because its integrated by I dont understand that how will I send e.g. clock to the ADC without knowing the PIN. 

The user guide gives description about the ADC modular IP but doesnt provide much detail to understand the full working of the IP core to manipulate it.
0 Kudos
Altera_Forum
Honored Contributor II
1,305 Views

 

--- Quote Start ---  

The full description of the board is: Max 10M50DAF484C6GES  

Its the same one you have given in the link. 

 

Yes I went through the schematic and the User guide for ADC. 

The schematic doesnt really show the ADC chips output pins only the analog input pins are mentioned. I guess its because its integrated by I dont understand that how will I send e.g. clock to the ADC without knowing the PIN. 

The user guide gives description about the ADC modular IP but doesnt provide much detail to understand the full working of the IP core to manipulate it. 

--- Quote End ---  

 

 

The documentation here would appear to provide all the info you need to use the ADC: https://www.altera.com/documentation/sam1393576011848.html#sam1393996851885 

 

The ADC is a hard IP macro block, so Altera is not going to give you much low level detail of the innards of the block, but just describe the input and output pins of the block, and what you need to provide from your FPGA code.
0 Kudos
Reply