FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5915 Discussions

About storing a rom_init.mif file on ROM module in Sopc system

Altera_Forum
Honored Contributor II
995 Views

Hi, 

I designed a custom component in Sopc builder to insert in a Nios system...my custom component is based on a Rom memory that during simulation I loaded with a file.mif using the megawizard tool...now when I created my custom component with an avalon MM interface in Sopc builder...How should I do to load this file in a stable way?? 

 

Regards
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
308 Views

Any answer??? any idea???

0 Kudos
Altera_Forum
Honored Contributor II
308 Views

How did you infer your memory in your custom component? If you used an altera memory component, then you can use a parameter to control what file to use to init the contents. 

Have a look at the recommended hdl coding styles (www.altera.com/literature/hb/qts/qts_qii51007.pdf) pages 11-33 and over. Alternatively you can also use code generated by the megawizard.
0 Kudos
Altera_Forum
Honored Contributor II
308 Views

I load a .mif file created by me using the megawizard of the ALT_ROM memory...

0 Kudos
Altera_Forum
Honored Contributor II
308 Views

so....where should I insert this .mif file in my sopc system????

0 Kudos
Altera_Forum
Honored Contributor II
308 Views

the .mif file should be called by the hdl code generated by the megawizard, you don't need to include it in SOPC builder. Just include your custom component in SOPC builder as any other one.

0 Kudos
Reply