FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5892 Discussions

Cyclone II FPGA Started Board: Lost the dev kit CD! Can the CD be downloaded?

Altera_Forum
Honored Contributor II
1,384 Views

I have been given a Cyclone II FPGA Started Board to use for development, but the company has lost the Development Kit CD-ROM that came with it years ago. 

 

I have scoured the internet looking to see if it can be downloaded from somewhere. Without the CD I'm pretty much stuck... 

 

I would be grateful if somebody could point me in the right direction. 

 

Thank you.
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
428 Views

This board? 

 

http://www.altera.com/products/devkits/altera/kit-cyc2-2c20n.html 

 

Its also called a Terasic DE1. 

 

http://www.terasic.com.tw/cgi-bin/page/archive.pl?no=83 

 

Both of these sites have the download(s) you need. 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
428 Views

 

--- Quote Start ---  

This board? 

 

http://www.altera.com/products/devkits/altera/kit-cyc2-2c20n.html 

 

Its also called a Terasic DE1. 

 

http://www.terasic.com.tw/cgi-bin/page/archive.pl?no=83 

 

Both of these sites have the download(s) you need. 

 

Cheers, 

Dave 

--- Quote End ---  

 

 

 

Hello Dave, 

 

Thanks for your reply. This is the board I have. 

 

Neither website has the "Development Kit" or "Kit installation" download options with all the configuration programs, etc., that are needed to properly setup the device; there are only user manuals and a NIOS file. 

 

Take a look at the next model's website: the Cyclone III FPGA Starter Board, and look at the downloads section you'll clearly see the "Kit installation" download option that contains everything needed. This is not available for the Cyclone II on the website. 

 

Thanks again
0 Kudos
Altera_Forum
Honored Contributor II
428 Views
0 Kudos
Altera_Forum
Honored Contributor II
428 Views

I wrote a simple "blink LEDs" project for this kit and posted in this thread: 

 

http://www.alteraforum.com/forum/showthread.php?t=35687 

 

Cheers, 

Dave
0 Kudos
Reply