FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5892 Discussions

Cyclone III starter kit with HSMC data conversion board(SLS): D/A-problem

Altera_Forum
Honored Contributor II
985 Views

Hello, 

 

I have bought a Cyclone III starter kit and became the HSMC data conversion board (it's from a Cyclone III DSP dev. kit). I tried to give out a value over the D/A-converter but nothing happens.  

Here is what i did: 

 

- with a PLL i send a signal to FPGA_CLK_B_P and FPGA_CLK_B_N (the same signal because of the inverter that is described in the documentation) 

(FPGA pins: U18 and V18 and HSMC pins:155 and 157) 

 

- i put one Jumper on pin 1 and 3 another an 4 and 6 (both J17)  

 

- i tried a few frequencies (e.g. 50MHz, 1 Mhz and 2 MHz) and also a Phase shift 

 

- with the same frequency i send my data to the DAx (and also to DBx) pins 

 

- i can detect the clock at the Input pins of the D/A chip (pins 17-20 when i use both converters) 

 

- the converter is in dual bus mode and also not set in sleep mode  

 

When i measure the outputs of the converter (j12 and j14) i just get nothing.  

 

Now my questions: 

Have i forgotten something? 

Are there any other bugs in the descriptions of the pins? (e.g. pin M5->T1) 

Is this data conversion board not campatible to the starter kit? 

 

Thanks, 

 

 

Nico Zielke 

 

 

 

--------------problem solved---------------- 

 

the cut-off-frequency of the transformers were too high for my signals. after sending a frequency about 60 khz out of the converter i can measure something. my data i sent before was about 10 times lower (circa 5 khz) 

0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
257 Views

Hello Im trying to implement a NCO woth this HSMC (SLS)card do you still have this example, can you shear your model please.thanks

0 Kudos
Reply