FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5925 Discussions

Cyclone V GX Starterkit & Terasic Highspeed AD/DA Daughter card

Altera_Forum
Honored Contributor II
1,030 Views

Hi everybody, 

 

i've purchased a Cyclone V GX Starterkit (http://www.terasic.com.tw/cgi-bin/page/archive.pl?language=english&categoryno=167&no=830) and the highspeed AD/DA daughter card (http://www.terasic.com.tw/cgi-bin/page/archive.pl?language=english&categoryno=73&no=278). It seems that the HSMC Connector pinout seems to be wrong. I just cant get the DA/AD-Converters working. If anyone already has some experience with this combination, please tell me if the boards are compatible at all. In their descriptions its says their compatible with each other coards that feautre the HSMC-Interface. I'm working on an simple OFDM-Transcieverdesign and want to use these boards for AD/DA-Conversion of OFDM-Symbols. 

 

With kind regards, 

M4PP3t
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
255 Views

Problem solved by myself, 

 

the pinout in the shematics is wrong. I had to use the UserGuide. There you can find a correct table with the pimapping of the HSMC-Connector. AD/DA-Card is now working fine. 

 

Thanks, 

M4PP3T
0 Kudos
Altera_Forum
Honored Contributor II
255 Views

 

--- Quote Start ---  

Hi everybody, 

 

i've purchased a Cyclone V GX Starterkit (http://www.terasic.com.tw/cgi-bin/page/archive.pl?language=english&categoryno=167&no=830) and the highspeed AD/DA daughter card (http://www.terasic.com.tw/cgi-bin/page/archive.pl?language=english&categoryno=73&no=278). It seems that the HSMC Connector pinout seems to be wrong. I just cant get the DA/AD-Converters working. If anyone already has some experience with this combination, please tell me if the boards are compatible at all. In their descriptions its says their compatible with each other coards that feautre the HSMC-Interface. I'm working on an simple OFDM-Transcieverdesign and want to use these boards for AD/DA-Conversion of OFDM-Symbols. 

 

With kind regards, 

M4PP3t 

--- Quote End ---  

 

 

 

Hi M4PP3t, 

could you post or explain how you finally obtain properly the pin assigment between both devices. I'm manage to create a 64QAM in the Cyclone V gx Starter Kit and I don't find the way to obtain the pins to show the output in a oscilloscope.
0 Kudos
Altera_Forum
Honored Contributor II
255 Views

Hi M4PP3t, 

could you post or explain how you finally obtain properly the pin assigment between both devices. I'm manage to create a 64QAM in the Cyclone V gx Starter Kit and I don't find the way to obtain the pins to show the output in a oscilloscope.
0 Kudos
Altera_Forum
Honored Contributor II
255 Views

Hi, 

 

the thread is quite old but here a more detailed solution that i used back then. I used the pin-description in the userguide of the AD/DA-Card from terasic. Here is the link: http://www.terasic.com.tw/cgi-bin/page/archive_download.pl?language=english&no=278&fid=7d33a4a8e787c8097d947da7050859ed 

 

In this document there is the right description of the pinout. I hope this will help you out. 

 

With kind regards, 

M4PP3T
0 Kudos
Reply