FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits

DE0 Debounce

Altera_Forum
Honored Contributor II
1,008 Views

Hello there. I've been trying to get a pulse out of the buttons of the DE0 board. I downloaded the DE0_Debounce file and try the DE0_debounce_cnt and works wonderfully. But I don't know how to use the de0_top_new, or just the button_debouncer.v, I have to put it in my code as a component? and wire it with the port map? Any help will be highlly apreciated :) Best Regards

0 Kudos
0 Replies
Reply