FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5892 Discussions

DE1 maximum voltage and current on GPIO ports....

Altera_Forum
Honored Contributor II
1,746 Views

First of all, sorry for my bad english, but it´s not my mother language... (i speak spanish). 

 

The thing is, in the University (FRBA UTN, Electronic Engeniering) we are trying to start a few new projetcs, involving hardware interaction. We actually have 4 DE1 kits ready to use. 

 

The problem is, that we don´t know the voltage and current level for the GPIO ports for output and input usage, and burning one of the kits just for testing it´s out of the question (unless i want to change of carrer :p).... so, anyone have real information of the ports parameters? I prefer real testing results... 

 

Thanks in advance!!
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
728 Views

Read the data for the chip.

0 Kudos
Altera_Forum
Honored Contributor II
728 Views

Do you mean that the components between the GPIO header and the chip don´t change anything? 

Sorry, but the electronics never worked that way... If there is ANYTHING between, it will gone a change specificactions (more or less, but there is always a change)... 

Anyways, real tests comments are far better...
0 Kudos
Altera_Forum
Honored Contributor II
728 Views

They just have resistors connected to them, so the values in the data sheet will be applicable.

0 Kudos
Altera_Forum
Honored Contributor II
728 Views

The expansion header circuit is completely described in the DE1 user manual. There's just a 47 ohm series resistor between connector and FPGA pin. With Cyclone II, PCI diodes can be enabled for part of the IO-pins (left and right banks) additionally, but they don't provide protection before user mode is started.

0 Kudos
Altera_Forum
Honored Contributor II
728 Views

GPIO pins are finally connected to pins on the Cyclone II fpga on DE1 (via resistors). The final voltage & current driving stats can be found in the DC characteristics section in Cyclone II handbook [http://www.altera.com/literature/hb/cyc2/cyc2_cii5v1.pdf]. 

 

In DE1, I believe the cyclone II GPIO data pins work @3.3V (when configured as LVTTL) with 40mA max sourcing current & 25mA max sinking current. But please don't take my word for it; do check with the handbook first.
0 Kudos
Reply