FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits

DE2-115 SRAM error

Altera_Forum
Honored Contributor II
920 Views

Using DE2-115 with NIOS II processor (/f option). Also using unmodified TERASIC component. Have a simple SW application to write/read SRAM. WOrks approx 95% of time. I saw a brief note that the SRAM wait states required changes if using the higher speed CPU. Could someone confirm. Am using 2RD, 2 WR, 1 HOLD and 1 SETUP. Please advise if there is a better arrangement. 

 

Thanks, in advance, 

ME
0 Kudos
0 Replies
Reply