FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5923 Discussions

Debugging my_first_fpga on BeMicro FPGA Eval Board

Altera_Forum
Honored Contributor II
968 Views

I've worked my way through the my_first_fpga project and everything compiles and the programmer reports success in loading it onto the chip. But I don't get any results on the eval board. I suspect my pin assignment is bad due to this eval kit not being listed directly. I've tried to match the pin functions from demo to the definitions listed in the spec sheets for the epc3c series boards, specifically EP3C16F256C8. I found matching pins in each case, but really don’t know if that is enough. This is the pin out that I ended up with (exported from Pin Planner): 

 

To,Direction,Location,I/O Bank,VREF Group,I/O Standard,Reserved,Current Strength,Slew Rate,Differential Pair 

button[0],Input,PIN_E1,1,B1_N1,,,,, 

led[3],Output,PIN_L7,3,B3_N0,,,8mA,1, 

led[2],Output,PIN_K10,4,B4_N1,,,8mA,1, 

led[1],Output,PIN_P9,4,B4_N1,,,8mA,1, 

led[0],Output,PIN_T12,4,B4_N1,,,8mA,1, 

osc_clk,Input,PIN_T8,3,B3_N0,,,,, 

 

I’m not sure how to proceed and debug an issue like this. I didn’t seem to get an error no matter what pin I assigned during some testing so I don’t think it is going to help to move them around blindly.  

 

Aaron
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
228 Views

Do you have the schematics for the bemicro eval board? It's the most reliable documentation to find out what pins should be used for the different functions. 

You can also have a look at one of the example projects given with the kit.
0 Kudos
Altera_Forum
Honored Contributor II
228 Views

I did download the schematic for EP3C16F256C8. I tried to match it pin for pin with the settings listed on page 1-37 of the PDF that was provided in the example project: “How to Begin a Simple FPGA Design”  

 

http://www.altera.com/literature/tt/tt_my_first_fpga.pdf 

 

I’m at a point where I think I had the correct pin out and everything compiled and programmed without error. Just can’t get the output on the board as expected: a four LED counter. 

 

Maybe I should change the question. Skipping what I might have done wrong in this specific example, what are the best steps for debugging once you get to this point in a project? Looking for the tutorial on that.
0 Kudos
Altera_Forum
Honored Contributor II
228 Views

Here is the pinout I found in the bemicro_board_project_setup.tcl inside the BeMicro tutorial lab project: 

 

set_location_assignment PIN_E2 -to clk 

set_location_assignment PIN_B4 -to led[0] 

set_location_assignment PIN_C2 -to led[1] 

set_location_assignment PIN_C3 -to led[2] 

set_location_assignment PIN_D6 -to led[3] 

set_location_assignment PIN_E6 -to led[4] 

set_location_assignment PIN_B3 -to led[5] 

set_location_assignment PIN_A7 -to led[6] 

set_location_assignment PIN_B1 -to led[7] 

 

I've tried out the clk and led[0], and those seem to work.
0 Kudos
Reply