FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5915 Discussions

Error 16045: Instance "auto_fab_0|alt_sld_fab_0" instantiated undefined entity

JQ2
Novice
3,274 Views

When I was trying to compile the project with Quartus Prime Pro 20.2, I encountered the following issue during the synthesize stage. This issue appeared suddenly, the same design compiled successfully last week, while not it failed. I searched online and it asked me to contact the Intel. Could somebody help to take a look? Thank you.

The full synthesis report is attached as a zip.

Quartus_Prime_Pro_20_2_error.PNG

 

 

0 Kudos
1 Solution
JQ2
Novice
2,986 Views

We found the issue. The issue is caused by the update of Digital Guardian software tool on our Linux server. The new version of the tool somehow blocks certain functionality of Quartus, so certain IP files cannot be retrieved. Reverting to a previous version of the Digital Guardian solved the problem.

Thank you all for the help.

Regards, JQ

View solution in original post

12 Replies
sstrell
Honored Contributor III
3,260 Views

Did you try enabling Signal Tap or some other debugging tool?  That entity gets instantiated when you use a debugging tool (sld = system level debug) that uses JTAG access for its use.  Perhaps you accidentally enabled a tool and didn't configure it.  Check the project settings to make sure Signal Tap is disabled.

It looks like you're using the golden hardware reference design (from Rocketboards, so you could also just download a clean copy of the design and recompile it from scratch.

0 Kudos
SyafieqS
Moderator
3,253 Views

Hi Zhang,


Try to remove your .stp file in your project file or disable signaltap in your setting file and recompile.


Thanks,

Regards


0 Kudos
JQ2
Novice
3,247 Views

Hi, 

The same issue is shown after I disabled the signal tap in Assignments -> Settings -> Signal Tap Logic Analyzer and removed the stp file in the qsf file. 

Even I tried to download and compile a clean version of GHRD from (https://rocketboards.org/foswiki/Documentation/Stratix10SoCGSRD), then follow the project build instructions:

make clean && make scrub_clean && rm -rf output_files
make sof

same issue happened in the terminal (see attachment snapshot).

 

This thread (https://www.intel.com/content/www/us/en/programmable/support/support-resources/knowledge-base/tools/2017/why-does-quartus-give-a-instantiates-undefined-entity-error-when.html ) showed the similar error message. However, it asks me to contact Intel support.

 

I am compiling the design on our Linux server and this issue suddenly showed up starting this week. When I compare the working syn.rpt and problematic one, I saw difference in the "Synthesis Source Files Read" section (see 2nd snapshot). The working one (right) listed *alt_sld_fab* files as Encrypted Megafunction, while the non-working one (left) showed those files are not Encrypted. Is this the reason that Quartu failed to locate those files later in the report?

 If there is other solutions I can try, please let me know. Thanks for all the help.

 

Regards, JQ

 

 

 

0 Kudos
JQ2
Novice
3,245 Views

Seems the snapshot in the previous reply does not work, re-pose them here. See attachment.

0 Kudos
sstrell
Honored Contributor III
3,228 Views

Sanity check: you have a license for Quartus Pro, correct?

0 Kudos
JQ2
Novice
3,223 Views

Hi Sstrell,

Yes, we have valid license for Quartus Prime Pro.

Regards, JQ

0 Kudos
SyafieqS
Moderator
3,125 Views

Hi Zhang,


What if you start from scratch, clean all databases (deleting qdb and trying to resynthesize) and recompile or

shortening long directory names eg: 


test_pcie_ptile_ast_0_example_design_gen3/ to test/ and recompile.


Thanks,

Regards


0 Kudos
SyafieqS
Moderator
3,035 Views

Hi Zhang,


Any update on this?


0 Kudos
JQ2
Novice
3,028 Views

Hi SyafieqS_Intel, 

I tried to compile the GHRD project from scratch, and also shorten the project directory, still same error is shown. We also tried to compile using latest Quartus Prime Pro 20.4, same error. I attached the *.syn.rpt here.

Thank you for any advices.

0 Kudos
SyafieqS
Moderator
2,995 Views

Hi Zhang,


I ran quartus synthesis flow from release 20.2 and 20.4 of your design file, and both completed successfully.

Not much I can do, specially if the problem is not reproducible.


In the warning, I can see there an empty module, search for all file containing that module as the name. Possibly, there is an empty file that is read-only such that Quartus cannot write the real content into it?


Another way is try to reboot your server and rerun through the Quartus flow.



0 Kudos
JQ2
Novice
2,987 Views

We found the issue. The issue is caused by the update of Digital Guardian software tool on our Linux server. The new version of the tool somehow blocks certain functionality of Quartus, so certain IP files cannot be retrieved. Reverting to a previous version of the Digital Guardian solved the problem.

Thank you all for the help.

Regards, JQ

SyafieqS
Moderator
2,979 Views

I’m glad that your question has been addressed, I now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.



0 Kudos
Reply