FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5892 Discussions

Example for RTL library in OpenCL kernel

VE000
Beginner
743 Views

The examples giving in the intel website appears to be generated using HLS and is not very clear.

 

Can anyone provide an alternate example for the same?

 

Thanks!

0 Kudos
5 Replies
MEIYAN_L_Intel
Employee
552 Views

Hi, 

 

May I know which examples you are referring to? 

 

Thanks

0 Kudos
MEIYAN_L_Intel
Employee
554 Views

Hi,

After check internally, there is no other example provided by developer.

 

There is a README.html provided, you may need to follow the instruction in the README.html.

 

Also, there is an OpenCL library command-line options to perform OpenCL library-related task in Table 14 and Table 15 with link below:

https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/hb/opencl-sdk/aocl_programming_guide.pdf

 

Thanks

0 Kudos
VE000
Beginner
554 Views

HI

 

Thanks for the reply.

 

I understand that the OpenCL commands for compiling the library are provided in Table 14 and 15.

However, based on the example, I am not able to understand how the Avalon MM signals are mapped with the rest of the opencl kernel.

Also, how the avalon MM signals are generated in this particular example.

 

That is why I was looking for a better example.

0 Kudos
MEIYAN_L_Intel
Employee
554 Views

Hi,

By referring to the README.html for example 2, I saw in the file "copyElement/rtl_spec.xml", there is merging of the .cl file and .v file.

 

Also, the .v file show how the avalon mm signal is connected.

 

For more information about the avalon interface connection, you may need to refer the document as link below:

https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/manual/mnl_avalon_spec.pdf

 

Thanks

0 Kudos
Reply