FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5925 Discussions

HSMC debug header cyclone III dev kit

Altera_Forum
Honored Contributor II
2,038 Views

Hello, 

 

I would like to use the HSMC debug header supplied with the Cyclone III dev kit but there is no datasheet showing its internal connections. Is there someone who know where i could find it? 

 

Thank you
0 Kudos
9 Replies
Altera_Forum
Honored Contributor II
738 Views

If you already have the kit you should have got a CD with documentation about this. Otherwise, go to  

http://www.altera.com/products/devkits/altera/kit-cyc3-embedded.html#documentation 

 

At the bottom of the page you can download the reference manual which shows the HSMC pinout. The schematic, also downlandable from this page, also shows it.
0 Kudos
Altera_Forum
Honored Contributor II
738 Views

I also like to use the HSMC Debug Header, especially for GPIOs. But I can't find any information about the Debug Header itself. Its easy to get the HSMC pinout on the Altera development board (in my case the DK-DEV-3C120N). But my problem is, to get the assignment from the HSMC pinout to the pinout of the breakout board (HSMC Debug Header). 

 

Had I have missed some details? Or can someone help me with that? 

 

Thanks in advance 

Modellpilot
0 Kudos
Altera_Forum
Honored Contributor II
738 Views

A breakout-header schematic is included with 3C120 Dev. Kit, check if it's the same!

0 Kudos
Altera_Forum
Honored Contributor II
738 Views

I have a DK-DEV-3C120N but I did not found any similar file or schematic. But I checked the pinout of my breakout-header according to your schematic and it looks good :)

0 Kudos
Altera_Forum
Honored Contributor II
738 Views

Hi,  

I have seen the posts of FVM. I have a query about the HSMC and it would be helpful if i get some guidelines on the same.  

 

1) Can the HSMC debug connector be used directly as an GPIO ?  

2) If the above is possible then how do the map the pins on the FPGA to the HSMC debug pins ? (no relevant data regarding the CIII kit on the reference manual ) 

 

please let me know in this regard.  

 

Sreeram
0 Kudos
Altera_Forum
Honored Contributor II
738 Views

The FPGA-to-DebugHeader mapping can be seen by combining the said schematics with Dev.-Kit reference manual, that shows the mapping of HSMC extension connector to FPGA.

0 Kudos
Altera_Forum
Honored Contributor II
738 Views

FvM, thanks. Your replies are really useful esp for self learning student like me. 

 

Sreeram, in the Cyclone III Development Board Reference Manual, check the "Board Components: Communication Cards and Interface Ports" under HSMC, pg 2-53. It maps the schematic signal name to the Cyclone III device pin number.
0 Kudos
Altera_Forum
Honored Contributor II
738 Views

 

--- Quote Start ---  

A breakout-header schematic is included with 3C120 Dev. Kit, check if it's the same! 

--- Quote End ---  

 

 

Looked through the schematic and seems that on the breakout-header, Bank2 IO can be used as GPIO for testing/other usage, while Bank3 is already internally connected to resistors and LEDs with differential signaling of 2 pins per pair. Thus Bank3 cant use as GPIO on the breakout-header. 

 

Please advice if I interpret it correctly.
0 Kudos
Altera_Forum
Honored Contributor II
738 Views

 

--- Quote Start ---  

I also like to use the HSMC Debug Header, especially for GPIOs. But I can't find any information about the Debug Header itself. Its easy to get the HSMC pinout on the Altera development board (in my case the DK-DEV-3C120N). But my problem is, to get the assignment from the HSMC pinout to the pinout of the breakout board (HSMC Debug Header). 

 

Had I have missed some details? Or can someone help me with that? 

 

Thanks in advance 

Modellpilot 

--- Quote End ---  

 

 

may be this will help..!!
0 Kudos
Reply