FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5915 Discussions

Help required for Quartus under Linux

Altera_Forum
Honored Contributor II
1,274 Views

Hi all 

 

I've been struggling for a while to get Quartus working under Ubuntu 8.04. I have tried several versions and followed the various instructions that I have found.  

 

So far I have installed 7.0, 7.2 and 8.0. They have all installed without error.  

 

What works:
  1. I can start jtagd. 

  2. I can run jtagconfig and it correctly reports USB-Blaster and the two boards I have on the chain with EP2C8 and EP3C25 devices on them. 

     

    root@bob-desktop:/opt/altera7.2/quartus/bin# ./jtagconfig 

    1) USB-Blaster
  3. I can also run 'quartus_pgm -l' and quartus_pgm -c 'USB-Blaster -a' which both correctly report. 

  4. Version 8.0 brings up Quartus GUI
What does not work:
  1. Version 7.0 and 7.2 hang when trying to bring up the Quartus GUI without showing anything (I can live without the GUI at the moment). 

  2. When trying to program with 'quartus_pgm -c USB_Blaster xxx.chf' it gets as far as this: 

     

    Info: Using programming cable "USB-Blaster
Here is my .chf file. I built this using the GUI under XP (same hardware). 

/* Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Full Version */ JedecChain; FileRevision(JESD32A); DefaultMfr(6E); P ActionCode(Cfg) Device PartName(EP2C8) Path("/home/bob/dev/projects/acorn-sdr/ozy/") File("Penelope_V1.1.jic") MfrSpec(OpMask(1) SEC_Device(EPCS4) Child_OpMask(1 1)); P ActionCode(Ign) Device PartName(EP3C25) MfrSpec(OpMask(0)); ChainEnd; AlteraBegin; ChainType(JTAG); AlteraEnd;Any help getting this to work would be much appreciated. 

 

PS Some extra information. I set up the Linux end as a remote jtag server and connected to it from an XP VM which was running the Quartus GUI. It was able to find the devices. I added a file and attempted to program and the same thing happened, the GUI hung and the jtag daemon exited. 

 

Thanks 

Bob
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
431 Views

Hi Bob, 

 

I don't think it will work well to mix and match that many different versions. Stick to the same version, everywhere, and you're likely to have better luck. 

 

Have you looked at the quartusforlinux (http://nioswiki.com/operatingsystems/uclinux/quartusforlinux) guide on the Nios Wiki? It's what I initially followed when I was trying to get this to work several years back. 

 

Cheers, 

 

- Brendan 

 

P.S.: I'm running ACDS (Altera Complete Design Suite) 9.0sp2 on Ubuntu 9.04 with no issues.
0 Kudos
Altera_Forum
Honored Contributor II
431 Views

Hi Ura 

 

I'm not really mixing versions. They are all separate and none of them work. I just kept trying to see if another version would do anything different. The link you gave is one of the many I followed. Everything seems to work EXCEPT the jtagd daemon crashed out as soon as programming starts. 

 

I didn't install the 'extras', Nios, MegaCore, ModelSim as I don't need them but I assume nothing in there that is required. 

 

I'm really stuck fast on this and don't know what else to try. I didn't find a 9.0 for Linux by the way, could you give me a link for that. 

 

Bob
0 Kudos
Altera_Forum
Honored Contributor II
431 Views

 

--- Quote Start ---  

Hi Ura 

 

I'm really stuck fast on this and don't know what else to try. I didn't find a 9.0 for Linux by the way, could you give me a link for that. 

 

Bob 

--- Quote End ---  

 

 

Here's the link: ftp://ftp.altera.com/outgoing/release/90_quartus_linux.tar 

 

90sp1 and 90sp2 are also available in the same directory. 

 

I wonder if the issue you're seeing could be 64/32-bit related?? I had issues with the 64-bit version of jtagd on Linux in the past. 

 

Cheers, 

 

- Brendan
0 Kudos
Altera_Forum
Honored Contributor II
431 Views

It looks to be some issue with Ubuntu 8.04 or something I am running on this machine that conflicts with Quartus. I went through the exact same procedure on my laptop which is running 9.04 and I don't get the problem, it runs through the programming fine. I'm running 32 bit OS by the way. 

 

I'm just happy its working at the moment. Is there anybody out there running any version of Quartus (i've settled on 7.2 right now) on Ubuntu 8.04. 

 

Bob
0 Kudos
Altera_Forum
Honored Contributor II
431 Views

Hi Bob, 

 

I've had strange issues like that, myself. Most of the time going through the following steps helps: 

  1. Remove the .altera (and .mw) subdirectories from $HOME. 

  2. Remove all occurrences of /tmp/Mw_<username> and /tmp/MW*.
I hear tell that Mw will soon be a thing of the past... None too soon, if you ask me! 

 

Cheers, 

 

- Brendan
0 Kudos
Altera_Forum
Honored Contributor II
431 Views

Hi Brendan 

 

Thanks for the tip. Unfortunately it didn't work for me. At least I have one machine that works. I was planning to rebuild this one with 9.04 so now is maybe a good time. 

 

Bob
0 Kudos
Reply