FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5892 Discussions

How to apply External clock to DE2 board

Altera_Forum
Honored Contributor II
1,323 Views

Hi, I would like to apply External clock to DE2 board. But I can't use a function generator because it does not take an SMA wire. What can I do???? Please help. Thanks! Also how to use oscilloscope?????http://www.alteraforum.com/forum//images/icons/icon5.png

0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
324 Views

Hello Horzan667, 

It could be that i did not understand your question very good but: The DE2-115 has 2 SMA connections, one for clock in and 1 for clock out. You can use these. 

If your clock frequency is on te low side you could also use a GPIO pin to connect the clock to a simple digital input of the fpga. I would advise to use this clock signal to drive a PLL and then use the output of the pll to do the rest of the job. 

 

Best Regards, 

Johi.
0 Kudos
Reply