FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits

IP for CAN

Altera_Forum
Honored Contributor II
1,083 Views

Has anybody advice for that?

0 Kudos
10 Replies
Altera_Forum
Honored Contributor II
395 Views

On OpenCores website (http://www.opencores.org/) you find an open source IP core for CAN controller. 

Beware that CAN is a proprietary technology by Bosch and you should get a licence from them in order to integrate the core in your fpga for production. 

 

Regards
0 Kudos
Altera_Forum
Honored Contributor II
395 Views

Thanks you for your response. 

 

I am a newby so How can I add this IP in my system? 

Throgouth SOpcBuilder or how? 

 

Regards
0 Kudos
Altera_Forum
Honored Contributor II
395 Views

Most of the cores on the Opencores website use a wishbone interface, so you'll have to write your own glue code to adapt them to the Avalon bus used in SOPC builder.

0 Kudos
Altera_Forum
Honored Contributor II
395 Views

Thank for the advice already. 

So this is like the Interface between Wisbone and Avalon ? 

Do you have any advice or example for Glue code ? I have never did it before.
0 Kudos
Altera_Forum
Honored Contributor II
395 Views

That CAN IP Opencore can also be configured for a standard 8 bit asynchronous memory interface; so you can possibly connect it to your system through the sopc tristate bus, if you don't have strong performance needs. 

Cris
0 Kudos
Altera_Forum
Honored Contributor II
395 Views

No ! 

 

tri states are only available for outside connectivity not inside the fpga between modules. 

a tristate is done by using an output buffer and controlling its output enable input.
0 Kudos
Altera_Forum
Honored Contributor II
395 Views

 

--- Quote Start ---  

 

tri states are only available for outside connectivity not inside the fpga between modules. 

a tristate is done by using an output buffer and controlling its output enable input. 

--- Quote End ---  

 

 

I don't know how Quartus actually synthesizes the sopc tristate bus. I believe this is not a true tristate. 

If I connect it to another inside-fpga module it works perfectly. 

I've already done it successfully both with OpenCore CAN module and with other IP cores exposing an AMI. 

 

Cris
0 Kudos
Altera_Forum
Honored Contributor II
395 Views

I saw a wishbone<->avalon bridge example code on this website two weeks ago. 

 

I do not have a link right now. but if you search it you will find it.
0 Kudos
Altera_Forum
Honored Contributor II
395 Views
0 Kudos
Altera_Forum
Honored Contributor II
395 Views

Hi, 

 

you can also find some informations about connecting Wishbone <-> Avalon on wiki! 

 

http://en.wikipedia.org/wiki/wishbone_%28computer_bus%29
0 Kudos
Reply