FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5892 Discussions

MAX II Development Board Kit - Incorrect pinout

Altera_Forum
Honored Contributor II
1,223 Views

Hi! 

 

I noticed the pinout provided for the expansion header pins in Figure 3 from document max ii development board reference manual (Document version 6.0.1 October 2006) shows that Pin 2 from connector J3 is NC (not-connected), whereas it's actually connected to +5V in the board (as shown in the schematics provided within the same complete kit documentation - ftp://ftp.altera.com/outgoing/devkit/mii_1270n_kit-v6.0.1.exe). 

 

I tried to find new versions of the reference manual, without success. 

 

Any comments? 

 

Gabriel
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
302 Views

This might be a bug in the documentation or schematic. I think you can file a service request to Altera to seek for clarification.

0 Kudos
Reply