FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5925 Discussions

NIOS II software for NEEK VIP DEMO V11.0 QSYS

Altera_Forum
Honored Contributor II
951 Views

Hi all, 

 

I have successfully compiled the NEEK VIP DEMO design on Quartus II and I have obtain the sof file. 

 

Since the design has a NIOS II processor, I will need to generate the elf file from the Eclipse software. 

 

I am facing some problem on opening the NIOS II software project. 

 

In the build_tool_software folder, it contains 2 folders (app and bsp). How do I open the project and its bsp file to generate the elf file? 

 

Please advice.
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
243 Views

Import->Nios II Software Build Tools Project. 

Choose Import Nios II Software Buil Tools Project (for bsp) 

Choose Import Custom Makefile for Nios II SBT Project (for app)
0 Kudos
Reply