FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits

Pin planner SSRAM

Altera_Forum
Honored Contributor II
1,248 Views

Hi! 

 

I'm currently using the Cyclone 3 development kit, and I'm trying to use the board feature SSRAM. However, I'm not sure I've got my pins configured correctly. During compilation with Quartus II v8.1, the Analysis & Synthesis returns no critical errors, but the Fitter returns the following and the compilation fails: 

 

Error: Can't reserve pin "address_to_the_ssram" because its name already exists with a different direction 

 

Is there a solution to this problem which I do not see? 

 

I've attached a .zip-file which includes a excel-sheet with the pins and locations I've used.
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
271 Views

Try this 

 

Assignment -> Device -> Device and Pin Options -> Dual-purpose pins -> Change data[0], data[1] to Use as regular IO
0 Kudos
Reply