FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5925 Discussions

Problem with Quartus II 11.0 Programmer detecting Altera Cyclone II DE2 Dev Kit

Altera_Forum
Honored Contributor II
3,048 Views

After tools -> programmer the Programmer these show up like in the attached image. 

 

For hardware type, there is none other then ethernet blaster; and auto detect didn't work. 

 

Basically the Dev Kit does not want to be recognised by Quartus II v11.0 

 

If any, these are observed and done: 

  • Board is powered on 

  • Tested when switch is at RUN and PROG 

  • Restarted program 

  • Restarted computer 

  • USB is connected from USB BLASTERt port of the board to the computer 

 

 

And the USB Blaster driver had been searched and located for instalallation and installed from this path: 

C:\altera\11.0\quartus\drivers 

 

Only seemed to be able to installed that way, and when earlier tried these methods to install but they didn't work: 

 

 

Just to note, I am using Intel Core i3 Windows 7 32-bit 

 

 

Any help will do. 

 

Thank you!
0 Kudos
11 Replies
Altera_Forum
Honored Contributor II
1,642 Views

Does the USB device show in the Windows Device Manager? How?

0 Kudos
Altera_Forum
Honored Contributor II
1,642 Views

Yes it does show in the device manager and it shows like in the attached picture.

0 Kudos
Altera_Forum
Honored Contributor II
1,642 Views

Sorry for double posting but since there were no replies, I'll make a guess -- could it be due to wrong configurations?

0 Kudos
Altera_Forum
Honored Contributor II
1,642 Views

Nevermind got it to work already... 

 

For those who're having the same problem as I did, in the programmer, just go to: 

edit -> add device... 

 

And add in the device to be used. 

 

Fin.
0 Kudos
Altera_Forum
Honored Contributor II
1,642 Views

This is more easy and applicable for those find it hard to run their altera de-2 board..and itz a conform working method 

 

1. Unzip the usb-blaster.zip file provided to a convenient location (e.g., your desktop). This will create a usb-blaster folder. 

a. http://myweb.msoe.edu/durant/courses/ce1900/usb-blaster.zip 

2. Connect USB Blaster to USB port on laptop (UP2 board does not need to be plugged in) 

3. Receive unsuccessful installation message 

4. Go to the Device Manager (can search from Start menu) 

5. Right click on Unknown device under Other devices 

6. Update driver software… 

7. Browse my computer for driver software 

8. Browse to the folder with the zip file contents. Do not go into a subdirectory (e.g., use usb-blaster, not x64) 

9. Ok, Ok, Next 

10. Warning message appears: “Windows can’t verify the publisher of this driver software” 

11. Click “install this driver software anyway” 

12. Receive success message that “Altera USB-Blaster” has been installed 

13. Close 

 

 

Cheers 

Alen
0 Kudos
Altera_Forum
Honored Contributor II
1,642 Views

every ime i compile my program this error appear idon't know why  

Error: Port "r" does not exist in primitive "dff" of instance "U4" 

 

this is my program  

 

library ieee; 

use ieee.std_logic_1164.all; 

entity usr is  

port(clk,srsi,slsi:in bit; 

s :in bit_vector(1 downto 0); 

I: in bit_vector(3 downto 0); 

A: out bit_vector(3 downto 0)); 

end; 

architecture universal_shift_register of usr is 

component mux is  

port(a0,a1,a2,a3:in bit;  

S: in bit_vector (1 downto 0);  

f: out bit ); 

end component; 

component dff is port 

(clk,d:in bit;  

r: out bit ); 

end component ; 

signal d0:bit; 

signal d1:bit; 

signal d2:bit; 

signal d3:bit; 

signal da0:bit; 

signal da1:bit; 

signal da2:bit; 

signal da3:bit; 

begin 

U0:mux port map(da0,da1,slsi,i(0),s,d0);  

U1:mux port map (da1,da2,da0,i(1),s,d1); 

U2:mux port map(da2,da3,da1,i(2),s,d2); 

U3:mux port map(da3,srsi,da2,i(3),s,d3); 

U4:dff port map(clk,d0,da0); 

U5:dff port map(clk,d1,da1); 

U6:dff port map(clk,d2,da2); 

U7:dff port map(clk,d3,da3); 

A(3)<=da3; 

A(2)<=da2; 

A(1)<=da1; 

A(0)<=da0; 

end; 

library ieee; 

use ieee.std_logic_1164.all; 

entity mux is  

port(a0,a1,a2,a3:in bit;  

S: in bit_vector (1 downto 0);  

f: out bit ); 

end; 

architecture mux4_1 of mux is 

begin  

process (S) 

begin  

case S is 

when "00" => 

f <= a0; 

when "01" => 

f <= a1; 

when "10" => 

f <= a2; 

when "11" => 

f <= a3; 

end case; 

end process; 

end; 

library ieee; 

use ieee.std_logic_1164.all; 

entity dff is port 

(clk,d:in bit;  

r: out bit ); 

end; 

architecture ff of dff is 

begin  

process (clk,d) 

begin  

if(clk'event and clk<= '1')then 

r<=d; 

end if; 

end process; 

end; 

 

 

 

i want your help
0 Kudos
Altera_Forum
Honored Contributor II
1,642 Views

 

--- Quote Start ---  

This is more easy and applicable for those find it hard to run their altera de-2 board..and itz a conform working method 

 

1. Unzip the usb-blaster.zip file provided to a convenient location (e.g., your desktop). This will create a usb-blaster folder. 

a. http://myweb.msoe.edu/durant/courses/ce1900/usb-blaster.zip 

2. Connect USB Blaster to USB port on laptop (UP2 board does not need to be plugged in) 

3. Receive unsuccessful installation message 

4. Go to the Device Manager (can search from Start menu) 

5. Right click on Unknown device under Other devices 

6. Update driver software… 

7. Browse my computer for driver software 

8. Browse to the folder with the zip file contents. Do not go into a subdirectory (e.g., use usb-blaster, not x64) 

9. Ok, Ok, Next 

10. Warning message appears: “Windows can’t verify the publisher of this driver software” 

11. Click “install this driver software anyway” 

12. Receive success message that “Altera USB-Blaster” has been installed 

13. Close 

 

 

Cheers 

Alen 

--- Quote End ---  

 

Well, I'm just not able to get the USB-Blaster to install on my XP machine... It's driving me crazy... The best I can get to is a screen that shows a code(10) error.http://www.running2win.com/images/usb-blaster.jpg (http://www.running2win.com/images/usb-blaster.jpg

 

Nothing seems to work. I tried the zip file and I get a message saying "The specified location does not contain information about your hardware." 

 

I really need to be able to work from home but I feel like I've tried everything.
0 Kudos
Altera_Forum
Honored Contributor II
1,642 Views

 

--- Quote Start ---  

Well, I'm just not able to get the USB-Blaster to install on my XP machine... It's driving me crazy... The best I can get to is a screen that shows a code(10) error.http://www.running2win.com/images/usb-blaster.jpg (http://www.running2win.com/images/usb-blaster.jpg

 

Nothing seems to work. I tried the zip file and I get a message saying "The specified location does not contain information about your hardware." 

 

I really need to be able to work from home but I feel like I've tried everything. 

--- Quote End ---  

 

 

 

Well the method works for windows 7 only... 

For Windows XP, do the following: 

1. Plug the USB-Blaster download cable into the PC. The Found New Hardware dialog box appears. 

2. Select Locate and install driver software (recommended). 

3. Select Don't search online. 

4. When you are prompted to Insert the disc that came with your USB-Blaster, select I don’t have the 

disc. Show me other options. 

5. Select Browse my computer for driver software (advanced) when you see the Windows couldn’t find 

driver software for your device. 

6. Click Browse... and browse to the <Path to Quartus II installation>\drivers\usb-blaster directory. Click 

OK. 

7. Select the Include subfolders option and click Next. 

8. If you are prompted Windows can’t verify the publisher of this driver software, select Install this 

driver software anyway in the Window Security dialog box. 

9. The installation begins. 

10. When The software for this device has been successfully installed appears, click Close. 

If you are running Windows in 64-bit mode, you MUST boot your computer as following both when installing the 

USB-Blaster driver AND when using Quartus to program your PLD. 

&#61623; During boot up of the computer, continuously press F8 (but do NOT hold it down). 

&#61623; Scroll down to “Disable Driver Signature Enforcement,” then hit Enter. 

You must set up the driver before programming your PLD (CPLD or FPGA).
0 Kudos
Altera_Forum
Honored Contributor II
1,642 Views

These instructions are not working. I use windows 7 and the driver installed no problem but the DE2 won't appear in Quartus Programmer. So there is some other error than driver installation, it's that Quartus Programmer can't recognize the card even though device manager can.

0 Kudos
Altera_Forum
Honored Contributor II
1,642 Views

 

--- Quote Start ---  

After tools -> programmer the Programmer these show up like in the attached image. 

 

For hardware type, there is none other then ethernet blaster; and auto detect didn't work. 

 

Basically the Dev Kit does not want to be recognised by Quartus II v11.0 

 

If any, these are observed and done: 

  • Board is powered on 

  • Tested when switch is at RUN and PROG 

  • Restarted program 

  • Restarted computer 

  • USB is connected from USB BLASTERt port of the board to the computer 

 

 

And the USB Blaster driver had been searched and located for instalallation and installed from this path: 

C:\altera\11.0\quartus\drivers 

 

Only seemed to be able to installed that way, and when earlier tried these methods to install but they didn't work: 

 

 

Just to note, I am using Intel Core i3 Windows 7 32-bit 

 

 

Any help will do. 

 

Thank you! 

--- Quote End ---  

 

 

how can i test the board when the switch is at PROG position. I know it is used for active serial programming but when program the board in AS configuration it is showing some error " the selected device is not suitable for current programming mode".
0 Kudos
Altera_Forum
Honored Contributor II
1,642 Views

Dear , 

 

Go to device manager see if you can find USB Blaster II and update them 

 

If not Install USB Blaster II drivers and try it out.
0 Kudos
Reply