FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5930 Discussions

Problems with the pin assignment

Altera_Forum
Honored Contributor II
947 Views

Hi to all, 

 

first of all, I'm using the Cyclone III EP3C25F324 FPGA. I created an new external board with a radio chip on it and some other peripherals. I have connected the FPGA to my external board with the Samtec flat ribbon connector cable. 

Now, I'm trying to program (with Quartus II Version 9) my Radio Chip via the FPGA pins E1 (HSMC_SDA) and F3 (HSMC_SCL). But it doesn't work. I recieve no signal on the FPGA pins. 

Maybe I have to enable the pins in Quartus II, but how can I do this? How can I choose those pins ? 

 

Maybe somebody can explain me the problem. 

 

Thanks a lot + best regards
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
229 Views

Are the signals HSMC_SDA and HSMC_SCL inputs/outputs of your toplevel design ? 

Have you assigned pin E1 to the HSMC_SDA and F3 to the HSMC_SCL signal in the "assignment editor"?
0 Kudos
Altera_Forum
Honored Contributor II
229 Views

Hi, thanks for your fast reply. 

Yes, the signals HSMC_SDA and HSMC_SCL are outputs of my top level design.  

I have tried to assign the pins E1 to the HSMC_SDA and F3 to the HSMC_SDA signal in the assignment editor, but it still doesn't work. I only got a high or low level on my pins. It depends on which default values are choosen in the pin properties window. Instead of recieving signals I got the logic levels... Maybe I have to enable the pins first?
0 Kudos
Altera_Forum
Honored Contributor II
229 Views

No there is no "enable pin" option anywhere. If the pin is assigned, then it is connected. 

 

But you should "enable Live I/O pin checking" in the options.
0 Kudos
Reply