FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5915 Discussions

Strange interference on THDB-ADA HSMC connected to DE2-115

Altera_Forum
Honored Contributor II
1,102 Views

I'm getting a strange problem when using the DAC on a project running on the DE2-115 and THDB-ADA (hsmc). 

 

When I program the board, at first, everything is fine and the waveforms coming from the DAC and into the oscilloscope are as expected. Over time, there seems to be interference developing and very quickly, the scope shows numerous spikes flooding the screen. The frequency of these spikes are the same as the sampling frequency used for the MODEM used in conjunction with the ADC. The problem causes interference with the rest of the project. When I run the project without the DACs connected (i.e. no signal routed to them), everything is fine and no interference is observed. At first, I thought there might be interference between lines on the HSMC connector. 

 

The problem is intermittant; sometimes everything works perfectly with the scope connected, but the problem is annoying to say the least. Any ideas?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
204 Views

Do you still get this problem? It was because of the clock skew effect

0 Kudos
Reply