FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5931 Discussions

USB blaster driver problems: Win 7 x32, Parallels 7

Altera_Forum
Honored Contributor II
1,583 Views

I am fairly new to quarts, I am a student and this is my first semester using it. I am running windows 7 x32 on my mac via parallels 7. I got the Quartus 11.0 software from the university and it works fine but the USB blaster does not show up in the programmer. I tried to install the driver software in the device manager but it says windows could not finder driver software. It is also giving (code 28) 

This is the path I used 

C:\Altera\11.0\quartus\drivers\usb-blaster2\x86 

 

If anyone could help me with this I would greatly appreciate it, I am stumped as well as my professor. 

 

Thanks in advance
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
831 Views

Unless you have a Stratix V development kit with a USB-Blaster-II on it, you should be installing the USB-Blaster driver, eg., 

 

C:\software\altera\11.1sp1\quartus\drivers\usb-blaster 

 

There were problems with 11.0's drivers. Try using the latest version of Quartus, i.e., 11.1sp1.  

 

I think someone may have complained about the 11.1sp2 drivers with the web edition ...  

 

I know that 11.1sp1 works, so start with that. 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
830 Views

Thanks for your reply, 

 

I resolved the issue this morning. 

I was trying these paths: 

C:\Altera\11.0\quartus\drivers\usb-blaster2\x86 

C:\Altera\11.0\quartus\drivers\usb-blaster\x32 

 

The correct path is: 

C:\Altera\11.0\quartus\drivers\usb-blaster 

 

Embarrassing mistake on my part but I did learn something from it
0 Kudos
Reply