FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5922 Discussions

USB blaster installation problems in W7 64bit

Altera_Forum
Honored Contributor II
3,270 Views

:confused: 

 

How can I install USB blaster in Windows 7 64 bit? it just can´t install the drivers correctly, every time I connect the tool to my PC it tries to install the already installed drivers but at the end it appears with an error and it doesn't work. 

 

If I uninstall the drivers and try the whole process again it does the same and I can't make it work. 

 

Do anybody know how can I install the drivers properly? 

 

Thanks!!
0 Kudos
38 Replies
Altera_Forum
Honored Contributor II
339 Views

 

--- Quote Start ---  

People who want to avoid installing a complete version of Quartus just for the drivers should download the Quartus 11.1sp1 stand alone programmer from here: 

 

https://www.altera.com/download/software/prog-software/11.1sp1 

 

...though it does take up roughly 800MB once installed!! Otherwise use the attached drivers 

--- Quote End ---  

 

 

 

Works like a charm!  

-Uninstall the old driver on USB blaster (also select Delete driver software) 

-Remove the old drivers folder and copy the folder extracted from the zip 

-Reinstall the drivers and you are good to go! 

 

Thanks chnaideur!
0 Kudos
Altera_Forum
Honored Contributor II
339 Views

+1 

 

Thanks chnaideur!!
0 Kudos
Altera_Forum
Honored Contributor II
339 Views

Unfortunately, installing chnaideur (http://www.alteraforum.com/forum/member.php?u=45144) driver leads to the "blue screen of death" when I plug the board. 

It's the first time with my win7 64bits! 

Olivier
0 Kudos
Altera_Forum
Honored Contributor II
339 Views

Perhaps try downloading and installing the stand alone programmer with a version that is compatible with your board? I for example found that one board of mine required version 10.1 to work.

0 Kudos
Altera_Forum
Honored Contributor II
339 Views

With Quartus II 11.2sp2 Win7 x64 chnaideur's solution worked beautifully :cool:. 

Thanks a lot chnaideur! :)
0 Kudos
Altera_Forum
Honored Contributor II
339 Views

 

--- Quote Start ---  

People who want to avoid installing a complete version of Quartus just for the drivers should download the Quartus 11.1sp1 stand alone programmer from here: 

 

https://www.altera.com/download/software/prog-software/11.1sp1 

 

...though it does take up roughly 800MB once installed!! Otherwise use the attached drivers 

--- Quote End ---  

 

chnaideur's solution is really the only one that worked for me, tried many other ways people suggested with no luck. Chnaideur, many thanks to you!!
0 Kudos
Altera_Forum
Honored Contributor II
339 Views

The problem on win7 64bit has been figured out in 

 

http://www.alteraforum.com/forum/showthread.php?t=36416 

 

Just download TBB from 

http://threadingbuildingblocks.org/download.php 

StableRelease -> LatestVersion -> WindowsVersion 

 

Extract intel64 VC9 files form zip to /altera/12.0/quartus/bin64 

 

Start quartus and your byteBlaster IS working
0 Kudos
Altera_Forum
Honored Contributor II
339 Views

HI,  

I am facing similar problem. I am using Quarus II 11.1 SP2 over Window-7. 

The programmer is not detecting the usb_blaster in its hardware setup...... 

Please suggest... Is there any wayout ? 

From where can I get 11.0 sp1 version ? 

Has anybody checked this with new 12.0 version?
0 Kudos
Altera_Forum
Honored Contributor II
339 Views

may be try the compatibility mode. it wokred for me

0 Kudos
Altera_Forum
Honored Contributor II
339 Views

Hi everyone.  

 

I am new on this.  

I am having the same problem. I am trying to run the USB-BLASTER in Quartus II 12.0 but when i open the Board Test System, the message is the next one : "java.land.exception : USB-BLASTER not detected." 

 

I have been trying as well with quartus 11.0 SP1, and the same message. 

 

Does somebody know what is happening? and why i cant even start the CYclone IV FPGA? 

 

Thanks in advance. 

 

Lucia
0 Kudos
Altera_Forum
Honored Contributor II
339 Views

Some versions of the board test system are a bit fragile. Does the standard SystemConsole detect anything? (are there nodes under /devices in the system explorer window)

0 Kudos
Altera_Forum
Honored Contributor II
339 Views

Thanks Wombat for the answer. 

 

I was able finally of installing the Usb-Blaster.  

I reinstall the jtagserver.exe which is not running when you install the quartus II 12.0.  

So now, the Quartus and the Board Test System are detecting de USB-Blaster :). 

but other problem is showing up.......Board test system is not initialized?? is block on the starting message
0 Kudos
Altera_Forum
Honored Contributor II
339 Views

Thanks for the fix pinhedd and others. As mentioned installing TBB V9 fixes the problem.

0 Kudos
Altera_Forum
Honored Contributor II
339 Views

I have Windows 7 64 bit.  

 

I installed Quartus V12.0sp2 and kept getting the error.  

 

 

Error (209066): The instruction register length for the EP4CGX15BF14 device at position 1 in the JTAG chain is unknown 

Error (209012): Operation failed 

 

When trying to program.  

 

I installed the stand alone programmer for 12.0sp2 and hey presto the problem went away.  

 

Just like it did on the previous versions (11.x).....  

 

Seriously guys......
0 Kudos
Altera_Forum
Honored Contributor II
339 Views

Getting Quartus II to see the USB-Blaster was a real pain. It took hours of grovelling. I don't know which of several changes finally made the difference. Candidate are: 

* Fall back to an old version of Quartus II (10.1) 

* Use an old USB-Blaster driver from 2009. 

* Install the USB-Blaster driver before installing Quartus II. 

 

In a fairness to Altera and MickeySoft, it might have been a firewall or browser problem.
0 Kudos
Altera_Forum
Honored Contributor II
339 Views

 

--- Quote Start ---  

I have Windows 7 64 bit.  

 

I installed Quartus V12.0sp2 and kept getting the error.  

 

 

Error (209066): The instruction register length for the EP4CGX15BF14 device at position 1 in the JTAG chain is unknown 

Error (209012): Operation failed 

 

When trying to program.  

 

I installed the stand alone programmer for 12.0sp2 and hey presto the problem went away.  

 

Just like it did on the previous versions (11.x).....  

 

Seriously guys...... 

--- Quote End ---  

 

 

 

And now I cannot see USB blaster through the programmer any more.  

 

Seriously. Altera software is utter %^&#......... 

 

If I could walk away from using this joke development system right now I would.
0 Kudos
Altera_Forum
Honored Contributor II
339 Views

I'm not sure what's going on here, but I just installed web version 12.0 and had the same problem. The solution was to install version 10.1 of the stand along programmer. Go into devices manager and "update" the driver (right click on usb blaster) to the older version that is installed in the drivers folder of the 10.1 stand along programmer. maybe 11.0 would work as well. This is kind of lame (same type of system Win 64 sp 1 version 7) . I had to do this for my starter kit, not a terasic usb blaster as someone else mentioned. After doing all of that then I could get the hardware drop down in the programming software finally. Seems like a big bug, unless my system is just weird, although it sounds like at least a few people have this same problem.

0 Kudos
Altera_Forum
Honored Contributor II
339 Views

 

--- Quote Start ---  

Turns out my problems weren't completely solved either. The Quartus programmer worked, but the development kit software didn't. The development kit stated that its version was 10.1, so I downloaded the stand alone programmer 10.1 from and now it finally works! 

 

monnoliv, check the version of your MAX V board test system and download the associated stand alone programmer to get the right drivers. Otherwise, the attached drivers are of version 10.1 if you would like to try these instead... 

--- Quote End ---  

 

 

This definitely works. Something to do with this version of drivers included... Just use SP1 USB blaster driver.
0 Kudos
Reply