FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5892 Discussions

Using Altera reserved I/O pins by an Altera IP

Gyud0
Beginner
408 Views

hey,

I'm using Altera IP that requires I/O pins that also reserved for Altera so I got Fitter's Quartos errors such as:

 

Error(176310): can't place multiple pins assigned to pin location Pin_E2 (IOPAD_X0_Y36_N7)

Info(176311) : pin flash_nce is assigned to pin location Pin_E2

Info(176311) : pin ~AlLTERA_FLASH_nCE_nCSO~ is assigned to pin location Pin_E2

 

how can I overcome thee problems?

Thank you

0 Kudos
3 Replies
sstrell
Honored Contributor III
332 Views

What IP? What device? More detail needed.

 

#iwork4intel

0 Kudos
Gyud0
Beginner
332 Views

The IP is Parallel Flash Loader while the device is Cyclone 3.

 

these are the IP's ports:

 

 

entity parallel_flash_loader is

port (

pfl_flash_access_granted: in std_logic;

pfl_nreset:in std_logic;

flash_addr: out std_logic_vector(22 downto 0);

flash_data:inout std_logic_vector(15 downto 0);

flash_nce: out std_logic;

flash_noe: out std_logic;

flash_nwe:out std_logic;

pfl_flash_access_request:out std_logic

);

end entity parallel_flash_loader ;

 

0 Kudos
ShafiqY_Intel
Employee
332 Views

Hi Gyud0,

 

Error(176310) because of you assigned two or more pins to the specified location, but the Fitter cannot place all the pins in that location.

 

Below is solution you can try:

If the conflict arises from location assignments in the current project, delete or change the location assignment of one or more of the pins. If the pin location assignments come from one or more imported design partitions, delete or change the location assignment (possibly using the Virtual Pin assignments) in the lower-level designs, re-generate the Quartus Prime Exported Partition File(s) (.qxp) , and re-import.

 

Thanks

0 Kudos
Reply